publ-other.bib

@comment{{This file has been generated by bib2bib 1.99}}
@comment{{Command line: bib2bib -q -ob publ-other.bib --remove keywords -c 'not exists key' /home/jantsch/Website/jantsch.se/AxelJantsch/publist.bib}}
@comment{{This file has been generated by bib2bib 1.99}}
@comment{{Command line: bib2bib -q -oc /home/jantsch/Website/jantsch.se/AxelJantsch/citefile -c '(( author : "Jantsch" or ( editor : "Jantsch" and $type : "book" ))
	    and ( not ( $key : "presentation" ))
	    and ( not ( $type : "techreport" ))
	    and ( not ( $type : "misc" ))
	    and ( not ( annotate : "not reviewed" )))
	    or $key = "hauer:2021a"
	    ' /home/jantsch/text/papers/lit.bib}}
@comment{{Example entry for online references:
miscWinNT,
  title = MS Windows NT Kernel Description,
  howpublished = \urlhttp://web.archive.org/web/20080207010024/http://www.808multimedia.com/winnt/kernel.htm,
  note = Accessed: 2010-09-30
}}
@comment{{Example:
miscWikiQuineMcCluskey,
  title =	 Quine-McCluskey Algorithm,
  author =  Wikipedia ,
  year = 2021,
  howpublished =
                  \urlhttps://en.wikipedia.org/wiki/Quine%E2%80%93McCluskey_algorithm,
  note =	 Accessed: 2021-08-11
}}
@article{jantsch:2024a,
  author = {Jantsch, Axel and Ghosh, Swaroop and Ogras, Umit and
                  Meinerzhagen, Pascal},
  journal = {IEEE Design \& Test},
  title = {ISLPED 2023: International Symposium on Low-Power
                  Electronics and Design},
  year = 2024,
  volume = 41,
  number = 1,
  pages = {93-94},
  doi = {10.1109/MDAT.2023.3324518}
}
@incollection{kolisnyk:2023a,
  author = {Maryna Kolisnyk and Axel Jantsch and Tanja Zseby and
                  Vyacheslav Kharchenko},
  title = {Markov Model of {PLC} Availability Considering
                  Cyber-Attacks in Industrial {IoT}},
  booktitle = {Reliability Engineering and Computational
                  Intelligence for Complex Systems},
  publisher = {Springer},
  year = 2023,
  editor = {Coen van Gulijk and Elena Zaitseva and Miroslav
                  Kvassay},
  volume = 496,
  series = {Studies in Systems, Decision and Contro},
  pages = {61--78},
  address = {Leiden, The Netherlands},
  doi = {10.1007/978-3-031-40997-4 },
  url = { https://doi.org/10.1007/978-3-031-40997-4}
}
@inproceedings{kolisnyk:2022a,
  author = {Kolisnyk, Maryna and Jantsch, Axel and Piskachova,
                  Iryna},
  booktitle = {2022 12th International Conference on Dependable
                  Systems, Services and Technologies (DESSERT)},
  title = {Markov Model for Availability Assessment of PLC in
                  Industrial IoT Considering Subsystems Failures},
  year = 2022,
  pages = {1-4},
  doi = {10.1109/DESSERT58054.2022.10018637}
}
@inproceedings{elaraby:2022b,
  author = {Nahla El-Araby and David Frismuth and Nilson Neves Filho
                  and Axel Jantsch},
  title = {Run Time Power and Accuracy Management with Approximate Circuits},
  booktitle = {International Conference on Very Large Scale Integration (VLSI-SoC)},
  year = 2022,
  doi = { 10.1109/VLSI-SoC54400.2022.9939639}
}
@inproceedings{elaraby:2022a,
  author = {Nahla El-Araby and Axel Jantsch},
  title = { Reliable Power Efficient Systems through Run-time
                  Reconfiguration},
  booktitle = { Proceedings of the IEEE International NEWCAS
                  Conference },
  year = 2022,
  month = {June},
  address = {Quebec, Canada},
  url = {http://jantsch.se/AxelJantsch/papers/2022/NajlaElAraby-NEWCAS.pdf}
}
@article{valinataj:2022a,
  title = {Hierarchical multipliers: A framework for high-speed
                  multiple error detecting architectures},
  journal = {Microelectronics Journal},
  pages = 105459,
  year = 2022,
  issn = {0026-2692},
  doi = {https://doi.org/10.1016/j.mejo.2022.105459},
  url = {https://www.sciencedirect.com/science/article/pii/S0026269222000945},
  author = {Mojtaba Valinataj and Axel Jantsch},
  abstract = {The demand for high-performance and reliable
                  processing systems is steadily increasing, also in
                  applications where multiple transient faults may
                  occur. As multipliers are one of the main building
                  blocks of the processing systems, employing a
                  cost-efficient and high-speed method handling
                  multiple-errors is of great importance. In this
                  paper, at first a framework to achieve multiple
                  error detection in the multipliers is proposed,
                  which is entirely independent of the multiplier type
                  and error detection method. Then, the self-checking
                  hierarchical multipliers with multiple error
                  detection capability up to the size of 64 × 64 bits
                  are proposed in such a way that the low-cost and
                  high-speed designs are achieved with high multiple
                  error detection probabilities. Experimental results
                  based on analysis and simulation show that the
                  proposed 32 × 32 and 64 × 64 multipliers based on
                  each of Dadda or Braum structures as high-speed
                  parallel and array multipliers, respectively,
                  achieve more than 99.8% error detection probability
                  against three or more simultaneous errors. This
                  capability for 64 × 64 multipliers is attained with
                  35% area overhead and less than 5% delay overhead
                  compared to the basic non-self-checking design.}
}
@inproceedings{elderhalli:2021a,
  author = {Yassmeen Elderhalli and Nahla El-Araby and Osman
                  Hasan and Axel Jantsch and Sofiene Tahar },
  title = {Dynamic Fault Tree Models for {FPGA} Fault Tolerance and Reliability },
  booktitle = { Proceedings of the IEEE Computer Society Annual
                  Symposium on VLSI (ISVLSI) },
  year = 2021,
  month = {July},
  address = {Tampa, Florida, USA},
  url = {http://jantsch.se/AxelJantsch/papers/2021/NahlaElAraby-ISVLSI.pdf}
}
@inproceedings{shahhosseini:2019a,
  author = {Sina Shahhosseini and Iman Azimi and Arman Anzanpour
                  and Axel Jantsch and Pasi Liljeberg and Nikil Dutt
                  and Amir M. Rahmani },
  title = {Dynamic Computation Migration at the Edge: Is There
                  an Optimal Choice? },
  booktitle = {Proceedings of the Great Lake Symposium on VLSI
                  (GLSVLS) },
  year = 2019,
  month = {May},
  address = {Washington DC, USA},
  publisher = {ACM},
  isbn = {978-1-4503-6252-8/19/05},
  doi = {10.1145/3299874.3319336},
  url = {http://jantsch.se/AxelJantsch/papers/2019/SinaShahhosseini-GLSVLSI.pdf}
}
@inproceedings{mosbeck:2018a,
  author = {Martin Mosbeck and Daniel Hauer and Axel Jantsch},
  title = {{VELS}: {VHDL} {E}-Learning System for Automatic
                  Generation and Evaluation of Per-Student Randomized
                  Assignments },
  booktitle = {IEEE Nordic Circuits and Systems Conference
                  (NorCAS)},
  year = 2018,
  month = {October},
  address = {Tallinn, Estonia},
  url = {http://jantsch.se/AxelJantsch/papers/2018/MartinMosbeck-NorCAS.pdf}
}
@inproceedings{oberg:1994a,
  title = { Design of a 1 GIPS Peak Performance Processor using GaAs Technology },
  author = { J. \"Oberg and P. Ellervee and M. Mokhtari and A. Jantsch },
  booktitle = { Proceedings of the IEEE NORCHIP Conference },
  year = {1994},
  month = {November}
}
@inproceedings{oberg:1995b,
  title = {A 1 GIPS Peak Performance Multi-Threaded Processor Core Using Interleaved Processing And A Revolving register File Targeted for GaAs},
  author = {Johnny \"Oberg and Peeter Ellervee and Mehran Mokhtari and Axel Jantsch and Ahmed Hemani},
  booktitle = {5th Swedish Workshop on Computer System Architecture (DSA'95)},
  year = {1995}
}
@inproceedings{oberg:1996a,
  title = {A Rule-based Approach for Improving Allocation of Filter Structures in HLS},
  author = {Johnny \"Oberg and Jouni Isoaho and Peeter Ellervee and Axel Jantsch and Ahmed Hemani},
  booktitle = {Proceedings of VLSI Design 96},
  year = {1996}
}
@inproceedings{oberg:1994b,
  title = { BABBAGE - A Rule based Tool for Synthesis of Hardware Systems },
  author = { J. \"Oberg and J. Isoaho and P. Ellervee and A. Jantsch and A. Hemani },
  booktitle = { Proceedings of the IEEE NORCHIP Conference },
  year = {1994},
  month = {November}
}
@inproceedings{oberg:1998a,
  title = {An Object-Oriented Concept for Intelligent Library Functions },
  author = {Johnny \"Oberg and Anshul Kumar and Axel Jantsch},
  booktitle = { Proceedings of the Eleventh International Conference on VLSI Design },
  year = {1998},
  month = {January}
}
@article{oberg:2001a,
  title = { Grammar-based Design },
  author = { Johnny \"Oberg and Mattias O'Nils and Axel Jantsch and Adam Postula and Ahmed Hemani },
  journal = { Journal of Systems Architecture},
  year = {2001},
  month = {April},
  number = {3-4},
  pages = {225-240},
  volume = {47}
}
@inproceedings{khatib:2006b,
  title = {A Multiprocessor System-on-Chip for Real-Time Biomedical Monitoring and Analysis: Architectural Design Space Exploration },
  author = {Iyad Al-Khatib and Francesco Poletti and Davide Bertozzi and Luca Benini and Mohamed Bechara and Hasan Khalifeh and Axel Jantsch and Rustam Nabiev },
  booktitle = { Proceedings of the Design Automation Conference },
  year = {2006},
  month = {July},
  url = {http://jantsch.se/AxelJantsch/papers/2006/DAC-Iyad.pdf}
}
@inproceedings{juhasz:2019a,
  author = {D\'avid Juh\'asz and Axel Jantsch},
  title = {Dynamic Constraints for Mixed-Criticality Systems},
  booktitle = {International Conference on Omni-layer Intelligent
                  systems (COINS)},
  year = 2019,
  month = {May},
  address = {Crete, Greece},
  url = {http://jantsch.se/AxelJantsch/papers/2019/DavidJuhasz-COINS.pdf}
}
@inproceedings{juhasz:2018a,
  author = {D\'avid Juh\'asz and Axel Jantsch},
  title = {Addressing the Execution Control Problem in Mixed-Criticality Systems},
  booktitle = {Proceedings of the Euromicro Conference on Digital
                  System Design (DSD)},
  year = 2018,
  month = {September},
  address = {Prague, Czech Republic},
  note = {Work in Progress},
  url = {http://jantsch.se/AxelJantsch/papers/2018/DavidJuhasz-DSD.pdf}
}
@inproceedings{arbaud:2018a,
  title = {Management of Resources for Mixed-Critical Systems
                  on Multi-Core Platforms with explicit consideration
                  of Communication },
  author = {Robin Arbaud and D\'avid Juh\'asz and Axel Jantsch},
  booktitle = {Proceedings of the Euromicro Conference on Digital
                  System Design (DSD)},
  year = 2018,
  month = {September},
  note = {invited tutorial},
  tudatabase = 1,
  url = {http://jantsch.se/AxelJantsch/papers/2018/RobinArbaud-DSD.pdf}
}
@incollection{bjureus:2000b,
  title = { Heterogenous System-level Cosimulation with {SDL} and {Matlab} },
  author = { Per Bjur\'{e}us and Axel Jantsch },
  booktitle = { Electronic Chips \& System Design Languages },
  publisher = { Kluwer Academic Publisher },
  year = {2001},
  chapter = {12},
  editor = { Jean Mermet },
  pages = { 145-157 },
  url = {http://jantsch.se/AxelJantsch/papers/2001/mascot-kluwer-book.pdf}
}
@article{bjureus:2001a,
  title = {Modeling of Mixed Control and Dataflow Systems in {MASCOT} },
  author = { Per Bjur\'{e}us and Axel Jantsch },
  journal = {IEEE Transactions on Very Large Scale Integration (VLSI) Systems},
  year = {2001},
  month = {October},
  number = {5},
  pages = { 690-704 },
  volume = {9}
}
@inproceedings{bjureus:2001b,
  title = { Performance Analysis with Confidence Intervals for Embedded Software Processes },
  author = { Per Bjur\'{e}us and Axel Jantsch },
  booktitle = {Proceedings of the International Symposium on System Synthesis (ISSS)},
  year = {2001},
  month = {October},
  url = {http://jantsch.se/AxelJantsch/papers/2001/mascot-isss.pdf}
}
@inproceedings{bjureus:2000a,
  title = { {MASCOT}: A Specification and Cosimulation Method Integrating Data and Control Flow },
  author = { Per Bjur\'{e}us and Axel Jantsch },
  booktitle = { Proceedings of the Design and Test Europe Conference (DATE) },
  year = {2000},
  url = { http://jantsch.se/AxelJantsch/papers/2000/date-mascot.pdf}
}
@inproceedings{bjureus:1999a,
  title = { Heterogenous System-level Cosimulation with {SDL} and {Matlab} },
  author = { Per Bjur\'{e}us and Axel Jantsch },
  booktitle = { Proceedings of the Forum on Design Languages (FDL) },
  year = {1999}
}
@inproceedings{bjureus:2002a,
  title = { {FPGA} Resource and Timing Estimation from {Matlab} Execution Traces },
  author = { Per Bjureus and Mickael Millberg and Axel Jantsch},
  booktitle = { Proceedings of the International Workshop on Hardware/Software Codesign },
  year = {2002},
  month = {May}
}
@article{chen:2012a,
  title = {Reducing Virtual-to-Physical address translation overhead
 in Distributed Shared Memory based multi-core
 Network-on-Chips according to data property },
  author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and Shuming
 Chen },
  journal = {Computers and Electrical Engineering},
  year = {2012},
  month = {May},
  url = {http://jantsch.se/AxelJantsch/papers/2012/ComputerElectricalEngineering-XiaowenChen.pdf}
}
@article{chen:2015a,
  title = {Performance Analysis of Homogeneous On-chip
 Large-scale Parallel Computing Architectures for
 Data-parallel Applications},
  author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and
 Shuming Chen and Yang Guo and Shenggang Chen and Hu
 Chen },
  journal = {Journal of Electrical and Computer Engineering},
  year = {2015},
  doi = {http://dx.doi.org/10.1155/2015/902591},
  url = {http://jantsch.se/AxelJantsch/papers/2015/XiaowenChen-Hindawi.pdf}
}
@inproceedings{chen:2015b,
  title = {Achieving Memory Access Equalization via Round-trip
                  Routing Latency Prediction in {3D} Many-core},
  author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and
                  Yang Li and Shuming Chen and Yang Guo and Zonglin
                  Liu and Jianghua Wan and Jianzhuang Lu and Shuwei
                  Sun and Shenggang Chen and Hu Chen and Man Liao },
  booktitle = {IEEE Annual Symposium on VLSI (ISVLSI)},
  year = 2015,
  address = {Montpelllier, France},
  month = {July},
  url = {http://jantsch.se/AxelJantsch/papers/2015/XiaowenChen-ISVLSI.pdf}
}
@inproceedings{deb:2003a,
  title = {Simulation and Analysis of Embedded {DSP} Systems using {MASIC} Methodology },
  author = { Abhijit K. Deb and Johnny \"Oberg and Axel Jantsch},
  booktitle = { Proceedings of the Design Automation and Test Europe (DATE) },
  year = {2003},
  month = {March}
}
@inproceedings{deb:2003b,
  title = {Simulation and Analysis of Embedded {DSP} Systems using {Petri} Nets },
  author = { Abhijit K. Deb and Johnny \"Oberg and Axel Jantsch},
  booktitle = {Proceedings of the 14th IEEE International Workshop on Rapid System Prototyping },
  year = {2003},
  month = {June}
}
@inproceedings{deb:2001a,
  title = {Control and Communication Performance Analysis of Embedded {DSP} Systems in the {MASIC} Methodology },
  author = { Abhijit K. Deb and Johnny \"Oberg and Axel Jantsch },
  booktitle = {Proceedings of the International Symposium on System Synthesis (ISSS)},
  year = {2001},
  month = {October},
  url = {http://jantsch.se/AxelJantsch/papers/2001/masic-isss.pdf}
}
@inproceedings{deb:2004b,
  title = {System Design for DSP Applications in Transaction Level Modeling Paradigm},
  author = {Abhijit K. Deb and Axel Jantsch and Johnny \"{O}berg},
  booktitle = {Proc. Design Automation Conf. (DAC)},
  year = {2004},
  address = {San Diego, California},
  month = {June},
  pages = {466--471},
  url = {http://web.it.kth.se/~abhijit/PAPER/DAC2004.pdf}
}
@inproceedings{deb:2004a,
  title = { System Design for DSP Applications Using the {MASIC} Methodology },
  author = { Abhijit K. Deb and Axel Jantsch and Johnny \"Oberg },
  booktitle = { Proceedings of the Design Automation and Test Europe (DATE) },
  year = {2004},
  month = {February}
}
@inproceedings{deb:2002a,
  title = { Performance Analsysi and Architectural Refinement of Embedded {DSP} Systems in the {MASIC} Methodology },
  author = { Abhijit Kumar Deb and Johnny Oberg and Axel Jantsch},
  booktitle = {Proceedings of Swedish System-on-Chip Conference},
  year = {2002},
  month = {March},
  annotate = {no reviewed}
}
@inproceedings{pudukotai:2018a,
  title = {{ADDHard}: Arrhythmia Detection with Digital
                  Hardware by Learning {ECG} Signal },
  author = {Sai Manoj Pudukotai Dinakarrao and Axel Jantsch},
  booktitle = {Proceedings of the Great Lake Symposium on VLSI},
  year = {2018},
  pages = {495-498},
  tudatabase = 1,
  url = {http://jantsch.se/AxelJantsch/papers/2018/SaiPudukotai-GLSVLSI.pdf}
}
@inproceedings{ditmar:2000a,
  title = { A Dynamically Reconfigurable FPGA-based Content Addressable Memory for Internet Protocol Characterization},
  author = { Johan Ditmar and Kjell Torkelsson and Axel Jantsch},
  booktitle = { Proceedings of the 10th International Conference on Field Programmable Logic and Applications},
  year = {2000},
  editor = { Reiner W. Hartenstein and Herbert Grunbacher },
  month = {August},
  pages = {19-28},
  publisher = { Springer Verlag },
  series = { Lecture Notes in Computer Science },
  volume = {1896}
}
@inproceedings{ebrahimi:2014a,
  title = {Rescuing Healthy Cores Against Disabled Routers },
  author = {Masoumeh Ebrahimi and Junshi Wang and Letian Huang and Masoud
 Daneshtalab and Axel Jantsch },
  booktitle = {Proceedings of the International Symposium on Defect and
 Fault Tolerance in VLSI and Nanotechnology Systems (DFTS)
 },
  year = {2014},
  address = {Amsterdam},
  month = {October},
  url = {http://jantsch.se/AxelJantsch/papers/2014/AzinEbrahimi-DFTS.pdf}
}
@inproceedings{ellervee:1994c,
  title = {Neural Network Based Estimator to Explore the Design Space at System Level},
  author = {Peeter Ellervee and Johnny \"Oberg and Axel Jantsch and Ahmed Hemani},
  booktitle = {Procceedings of the Biennial Baltic Electronic Conference, Tallin},
  year = {1994},
  month = {October}
}
@inproceedings{ellervee:1994b,
  title = {Exploring ASIC Design Space at System Level with a Neural Network Estimator},
  author = {Peeter Ellervee and Axel Jantsch and Johnny \"Oberg and Ahmed Hemani and Hannu Tenhunen},
  booktitle = {7th Annual IEEE International ASIC Conference, ASIC'94},
  year = {1994}
}
@inproceedings{ellervee:1998a,
  title = { {IRSYD}: An Internal Representation for Heterogeneous Embedded Systems },
  author = { Peeter Ellervee and Shashi Kumar and Axel Jantsch and Bengt Svantesson and Thomas Meincke and Ahmed Hemani },
  booktitle = { Proceedings of the 16th NORCHIP Conference},
  year = {1998}
}
@incollection{forsell:2004a,
  title = { Networks on Chip: Approaches and Challenges},
  author = { Martti Forsell and Juha-Pekka Soininen and Kari Tiensyri\"a and Axel Jantsch and Klaus Kronl\"of and Bojidar Hadjiski },
  booktitle = {Research and Development Activities in Telecommunication Systems },
  publisher = {VTT Electronics},
  year = {2004},
  url = {http:// www.vtt.fi/ele/results }
}
@inproceedings{grange:2011b,
  title = {Modeling the Computational Efficiency of 2-{D} and 3-{D}
 Silicon Processors for Early-Chip Planning},
  author = {Matt Grange and Axel Jantsch and Roshan Weerasekera and
 Dinesh Pamunuwa },
  booktitle = {Proceedings of the International Conference on CAD
 (ICCAD) },
  year = {2011},
  address = {San Jose, CA, USA},
  month = {November},
  url = {http://jantsch.se/AxelJantsch/papers/2011/ICCAD-MattGrange.pdf}
}
@article{grimm:2008a,
  title = {C-Based Design of Embedded Systems - Editorial},
  author = {Christoph Grimm and Axel Jantsch and Sandeep Shukla and Eugenio Villar },
  journal = {EURASIP Journal on Embedded Systems},
  year = {2008},
  month = {July}
}
@article{haghbayan:2016a,
  title = {Can Dark Silicon Be Exploited to Prolong System Lifetime?},
  author = {M. H. Haghbayan and A. M. Rahmani and P. Liljeberg and
 A. Jantsch and A. Miele and C. Bolchini and
 H. Tenhunen},
  journal = {IEEE Design Test},
  year = {2017},
  month = {April},
  number = {2},
  pages = {51-59},
  volume = {34},
  doi = {10.1109/MDAT.2016.2630317},
  issn = {2168-2356},
  url = {http://jantsch.se/AxelJantsch/papers/2017/MuhammadHaghbayan-DesignTest.pdf}
}
@inproceedings{haghbayan:2015a,
  title = {{MapPro}: Proactive Runtime Mapping for Dynamic
 Workloads by Quantifying Ripple Effect of
 Applications on Networks-on-Chip},
  author = { Mohammad-Hashem Haghbayan and Anil Kanduri and
 Amir-Mohammad Rahmani and Pasi Liljeberg and Axel
 Jantsch and Hannu Tenhunen },
  booktitle = {Proceedings of the International Symposium on
 Networks on Chip },
  year = {2015},
  address = {Vancouver, Canada},
  month = {September},
  url = {http://jantsch.se/AxelJantsch/papers/2015/MohammadHashemHaghbayan-NoCS.pdf}
}
@inproceedings{haghbayan:2014a,
  title = {Dark Silicon Aware Power Management for Manycore
 Systems under Dynamic Workloads },
  author = {Mohammad-Hashem Haghbayan and Amir-Mohammad Rahmani
 and Awet Yemane Weldezion and Pasi Liljeberg and
 Juha Plosila and Axel Jantsch and Hannu Tenhunen },
  booktitle = {Proceedings of the International Conference on
 Computer Design },
  year = {2014},
  address = {Seoul, South Korea},
  month = {October},
  url = {http://jantsch.se/AxelJantsch/papers/2014/MohammadHashemHaghbayan-DarkSilicon-ICCD.pdf}
}
@inproceedings{hellberg:1997a,
  title = { System Oriented VLSI Curriculum at KTH},
  author = { L. Hellberg and A. Hemani and J. Isoaho and A. Jantsch and M. Mokhtari and H. Tenhunen },
  booktitle = { Proceedings of the International Conference on Microelectronic Systems Educations, MSE97 },
  year = {1997}
}
@inproceedings{hellberg:1997b,
  title = { Integration of Physical and Functional Electronic System Representations in Electronic Curriculum },
  author = { L. Hellberg and A. Hemani and J. Isoaho and A. Jantsch and M. Mokhtari and H. Tenhunen },
  booktitle = { Proceedings of the 15th NORCHIP Conference },
  year = {1997}
}
@inproceedings{hemani:1995b,
  title = { High-level Synthesis of Control and Memory Intensive Communication Systems},
  author = { A. Hemani and B. Svantesson and P. Ellervee and A.Postula and J. \"Oberg and A.Jantsch and H. Tenhunen},
  booktitle = { Proceedings of the 1995 ASIC Conference and Exhibit, Austin, Texas },
  year = {1995},
  month = {September}
}
@inproceedings{hemani:1995a,
  title = {Trade-offs in High-level Synthesis of Telecommunication Circuits},
  author = {Ahmed Hemani and Bengt Svantesson and Peeter Ellervee and Adam Postula and Axel Jantsch and Hannu Tenhunen},
  booktitle = {Proceedings of SASIMI'95, Japan},
  year = {1995}
}
@inproceedings{henriksson:2007a,
  title = {Network Calculus Applied to Verification of Memory Access Performance in {SoCs} },
  author = {Tomas Henriksson and Pieter van der Wolf and Axel Jantsch and Alistair Bruce },
  booktitle = {Proceedings of the 5th IEEE Workshop on Embedded Systems for Real-Time Multimedia },
  year = {2007},
  month = {October},
  url = {http://jantsch.se/AxelJantsch/papers/2007/ESTIMedia-henriksson.pdf}
}
@inproceedings{herrholz:2007b,
  title = {The {ANDRES} Project: ANalysis and Design of run-time REconfigurable, heterogeneous Systems},
  author = {Andreas Herrholz and Frank Oppenheimer and P. A. Hartmann and Andreas Schallenberg and Wolfgang Nebel and Christoph Grimm and Markus Damm and J. Haase and Fernando Herrera and Eugenio Villar and Ingo Sander and Axel Jantsch and Anne-Marie Fouilliart and Marcos Martinez},
  booktitle = {Proceedings of the The International Conference on Field-Programmable Logic, Reconfigurable Computing, and Applications (FPL) },
  year = {2007},
  month = {August},
  url = {http://jantsch.se/AxelJantsch/papers/2007/FPL-Andres.pdf}
}
@inproceedings{herrholz:2007a,
  title = {{ANDRES} - ANalysis and Design of run-time REconfigurable, heterogeneous Systems},
  author = {Andreas Herrholz and Frank Oppenheimer and Andreas Schallenberg and Wolfgang Nebel and Christoph Grimm and Markus Damm and Fernando Herrera and Eugenio Villar and Ingo Sander and Axel Jantsch and Anne-Marie Fouilliart and Marcos Martinez},
  booktitle = {Workshop on Reconfigurable Systems at DATE},
  year = {2007},
  month = {April},
  url = {http://jantsch.se/AxelJantsch/papers/2007/DATE-Andres-WS.pdf}
}
@inproceedings{horn:1999a,
  title = { Hardware Synthesis of an ATM Multiplexer Modelled in {SDL}: A Case Study },
  author = { Wolfgang Horn and Bengt Svantesson and Shashi Kumar and Axel Jantsch and Ahmed Hemani },
  booktitle = { Proceedings of the IEEE Computer Society Annual Workshop on VLSI },
  year = {1999}
}
@article{hu:2012a,
  title = {Self-selection pseudo-circuit: a clever crossbar
 pre-allocation},
  author = {Wenmin Hu and Hengzhu Liu and Zhonghai Lu and Axel
 Jantsch and Guitao Fu},
  journal = {IEICE Electronics Express},
  year = {2012},
  url = {http://jantsch.se/AxelJantsch/papers/2012/IEICE-WenminHu.pdf}
}
@article{hu:2012b,
  title = {Multicast Path Setup Incorporating Evicting },
  author = {Wenmin Hu and Zhonghai Lu and Hengzhu Liu and Axel Jantsch},
  journal = {Electronics and Electrical Engineering},
  year = {2012},
  month = {August},
  number = {8},
  volume = {18},
  url = {http://jantsch.se/AxelJantsch/papers/2012/WenminHu-Elektronika.pdf}
}
@article{huang:2015a,
  title = {Non-blocking Testing for Network-on-Chip},
  author = { Letian Huang and Junshi Wang and Masoumeh Ebrahimi
 and Masoud Daneshtalab and Xiaofan Zhang and
 Guangjun Li and Axel Jantsch},
  journal = {IEEE Transactions on Computers},
  year = {2016},
  number = {3},
  pages = {679--692},
  volume = {65},
  doi = {10.1109/TC.2015.2489216},
  url = {http://jantsch.se/AxelJantsch/papers/2015/LetianHuang-TComputers.pdf }
}
@inproceedings{isoaho:1994a,
  title = {{DSP} Development with Full-Speed Prototyping Based on {HW}-{SW} Codesign Techniques},
  author = {Jouni Isoaho and Axel Jantsch},
  booktitle = {Proc. of the Fourth International Workshop on Field programmable Logic and Applications, Prague, FPL'94 },
  year = {1994},
  month = {September}
}
@article{jantsch:2018b,
  title = {Darf ich meinen {H}aushaltsroboter foltern?},
  author = {Axel Jantsch},
  journal = {Profil},
  year = {2018},
  number = {19. April},
  url = {http://jantsch.se/AxelJantsch/papers/2018/AxelJantsch-Profil.pdf}
}
@manual{jantsch:2006b,
  title = {Nocsim: A {NoC} Simulator},
  address = {Stockholm},
  author = {Axel Jantsch},
  edition = {Version 0.4 Alpha},
  organization = {School of Information and Communication Technology, Royal Institute of Technology},
  year = {2006}
}
@book{jantsch:2023a,
  author = {Axel Jantsch},
  title = {Taking {AIMS} at Digital Design - Analysis,
                  Improvement, Modeling, and Synthesis},
  publisher = {Springer},
  year = 2023,
  month = {May},
  doi = {10.1007/978-3-031-35605-6},
  url = {https://link.springer.com/book/10.1007/978-3-031-35605-6}
}
@inproceedings{jantsch:1999c,
  title = { Formal System Specification Models for Verification and Refinement },
  author = {Axel Jantsch },
  booktitle = { EDA-Traff'99},
  year = {1999}
}
@inproceedings{jantsch:1999d,
  title = { Integrated Electronic Systems Program - A National Research Program },
  author = {Axel Jantsch },
  booktitle = { EDA-Traff'99},
  year = {1999}
}
@inproceedings{jantsch:1997b,
  title = { Limitations of Interactive Design},
  author = { Axel Jantsch},
  booktitle = { Workshop on Electronic Design Processes},
  year = {1997},
  note = { http://www.cse.nd.edu/~cseda/edpw97/}
}
@inproceedings{jantsch:1993b,
  title = {Hades: An Environment for Design Space Exploration},
  author = {Axel Jantsch},
  booktitle = {Proceedings of GME Fachtagung Mikroelektronik},
  year = {1993},
  month = {March}
}
@phdthesis{jantsch:1992b,
  title = { Design Space Exploration with Design Style Description and Estimation Functions },
  author = { Axel Jantsch },
  school = { Vienna University of Technology, Institut f\"ur Technische Informatik },
  year = {1992},
  month = {September}
}
@inproceedings{jantsch:1992d,
  title = {A Capability Library for High Level Synthesis},
  author = {Axel Jantsch},
  booktitle = {Workshop on Control Dominated Synthesis},
  year = {1992}
}
@mastersthesis{jantsch:1988a,
  title = {Probleme der Morphologie bei sprachanalysierenden Systemen},
  author = {Axel Jantsch},
  school = {Vienna University of Technology},
  year = {1988}
}
@inproceedings{jantsch:1998c,
  title = { Is there a Niche for a General Protocol Processor ? },
  author = { Axel Jantsch and Johnny \"Oberg and Ahmed Hemani },
  booktitle = { Proceeedings of 16th NORCHIP Conference },
  year = {1998}
}
@article{JSA:2004a,
  title = { Introduction to Special Issue on Networks on Chip},
  author = { Axel Jantsch and Johnny \"Oberg and Hannu Tenhunen },
  journal = {Journal of Systems Architecture },
  year = {2004},
  month = {February},
  number = {2-3},
  volume = {50},
  publisher = {Elsevier }
}
@inproceedings{jantsch:2018a,
  title = {Hierarchical Dynamic Goal Management for {IoT}
                  Systems},
  author = {Axel Jantsch and Arman Anzanpour and Hedyeh Kolerdi
                  and Iman Azimi and Lydia Chaido Siafara and Amir
                  M. Rahmani and Nima TaheriNejad and Pasi Liljeberg
                  and Nikil Dutt},
  booktitle = {Proceedings of the IEEE International Symposium on
                  Quality Electronic Design (ISQED 2018)},
  year = {2018},
  address = {USA},
  month = {March},
  tudatabase = 1,
  url = {http://jantsch.se/AxelJantsch/papers/2018/AxelJantsch-ISQED.pdf}
}
@inproceedings{jantsch:2000c,
  title = { Composite Signal Flow: A Computational Model Combining Events, Sampled Streams, and Vectors },
  author = { Axel Jantsch and Per Bjur\'{e}us },
  booktitle = { Proceedings of the Design and Test Europe Conference (DATE) },
  year = {2000},
  url = { http://jantsch.se/AxelJantsch/papers/2000/date-compsig.pdf}
}
@inproceedings{jantsch:1994b,
  title = {A Case Study on Hardware/Software Partitioning},
  author = {Axel Jantsch and Peeter Ellervee and Johnny \"Oberg and Ahmed Hemani},
  booktitle = {Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines},
  year = {1994},
  month = {Napa, CA, April}
}
@inproceedings{jantsch:1994a,
  title = { A Software Oriented Approach to Hardware/Software Codesign },
  author = { Axel Jantsch and Peeter Ellervee and Johnny \"Oberg and Ahmed Hemani and Hannu Tenhunen },
  booktitle = { Proceedings of the Poster Session of CC'94, International Conference on Compiler Construction },
  year = {1994},
  month = { Edinburgh, April }
}
@inproceedings{jantsch:1994c,
  title = {Hardware-Software Partitioning and Minimizing Memory Interface Traffic},
  author = {Axel Jantsch and Peeter Ellervee and Johnny \"Oberg and Ahmed Hemani and Hannu Tenhunen},
  booktitle = {Proceedings of EURO-DAC '94},
  year = {1994},
  month = {Grenoble, France, September},
  url = {http://jantsch.se/AxelJantsch/papers/1994/hwsw-partitioning.pdf}
}
@incollection{jantsch:2011a,
  title = {The Promises and Limitations of {3-D} Integration},
  author = { Axel Jantsch and Matthew Grange and Dinesh Pamunuwa },
  booktitle = {{3D} Integration for {NoC}-based {SoC} Architectures},
  publisher = {Springer},
  year = {2011},
  chapter = {2},
  editor = {Abbas Sheibanyrad and Fr\'ed\'eric P\'etrot and Axel Jantsch},
  series = {Integrated Circuits and Systems},
  url = {http://jantsch.se/AxelJantsch/papers/2011/3D-BookChapter-Jantsch.pdf}
}
@inproceedings{jantsch:1994d,
  title = {A Versatile Design Validation Environment by Means of Software Execution, Hardware Simulation, and Emulation},
  author = {Axel Jantsch and Jouni Isoaho},
  booktitle = {Proc. of the 36th SIMS Simulation Conference},
  year = {1994},
  month = {August},
  organization = {Scandinavian Simulation Society},
  pages = {322 - 325}
}
@inproceedings{jantsch:1994e,
  title = {Hardware-Software Codesign for Multirate {DSP} System Development},
  author = {Axel Jantsch and Jouni Isoaho and Johnny \"Oberg},
  booktitle = {Poster session of Third International Workshop on Hardware-Software Codesign},
  year = {1994},
  month = {Grenoble, September}
}
@article{jantsch:2000f,
  title = { A Metamodel for Studying Concepts in Electronic System Design },
  author = { Axel Jantsch and Shashi Kumar and Ahmed Hemani },
  journal = { IEEE Design \& Test of Computers },
  year = {2000},
  month = {July-September },
  number = {3},
  pages = { 78-85 },
  volume = {17},
  url = { http://jantsch.se/AxelJantsch/papers/2000/design-test.pdf}
}
@inproceedings{jantsch:1999a,
  title = { The {Rugby} Model: A Framework for the Study of Modelling, Analysis, and Synthesis Concepts in Electronic Systems },
  author = { Axel Jantsch and Shashi Kumar and Ahmed Hemani },
  booktitle = { Proceedings of Design Automation and Test in Europe (DATE) },
  year = {1999}
}
@inproceedings{jantsch:1998b,
  title = { Comparison of Six Languages for System Level Descriptions of Telecom Systems },
  author = { A. Jantsch and S. Kumar and I. Sander and B. Svantesson and J. \"Oberg and A. Hemani },
  booktitle = { Proceedings of the Forum on Design Languages },
  year = {1998},
  volume = {2},
  url = { http://jantsch.se/AxelJantsch/papers/1998/comparison.pdf}
}
@incollection{jantsch:2001b,
  title = { A Comparison of Six Languages for System Level Description of Telecom Applications },
  author = { A. Jantsch and S. Kumar and I. Sander and B. Svantesson and J. \"Oberg and A. Hemani and Peeter Ellervee and Mattias O'Nils },
  booktitle = { Electronic Chips \& System Design Languages },
  publisher = { Kluwer Academic Publisher },
  year = {2001},
  chapter = {15},
  editor = { Jean Mermet },
  pages = { 181-192 },
  url = { http://jantsch.se/AxelJantsch/papers/2000/comparison.pdf}
}
@article{jantsch:2000a,
  title = { Functional Validation for Large Telecom Systems },
  author = { Axel Jantsch and Johann Notbauer and Thomas Albrecht },
  journal = { Design Automation of Embedded Systems, Kluwer },
  year = {2000},
  month = {February},
  number = {1},
  volume = {5},
  url = { http://jantsch.se/AxelJantsch/papers/2000/tecs.pdf}
}
@inproceedings{jantsch:1997d,
  title = { Testcase Development for Large Telecom Systems },
  author = { Axel Jantsch and Johann Notbauer and Thomas Albrecht },
  booktitle = { Proceedings of the International High-level Design Validation and test Workshop },
  year = {1997},
  month = {November},
  url = { http://jantsch.se/AxelJantsch/papers/1997/tecs.pdf}
}
@inproceedings{kanduri:2016a,
  title = {Approximation Knob: Power Capping Meets Energy
 Efficiency },
  author = {Anil Kanduri and Mohammad-Hashem Haghbayan and Amir
 M. Rahmani and Pasi Liljeberg and Axel Jantsch and
 Nikil Dutt and Hannu Tenhunen },
  booktitle = {Proceedings of the International Conference on
 Computer Aided Design (ICCAD)},
  year = {2016},
  address = {Austin, Texas},
  month = {November},
  url = {http://jantsch.se/AxelJantsch/papers/2016/AnilKanduri-ICCAD.pdf}
}
@incollection{kanduri:2016c,
  title = {Dark Silicon Patterning: Efficient Power Utilization
 through Run-time Mapping},
  author = {Anil Kanduri and Mohammad-Hashem Haghbayan and Amir
 M. Rahmani and Pasi Liljeberg and Axel Jantsch and
 Hannu Tenhunen},
  booktitle = {The Dark Side of Silicon},
  publisher = {Springer},
  year = {2016},
  chapter = {9},
  editor = {Amir M. Rahmani and Pasi Liljeberg and Ahmed Hemani
 and Axel Jantsch and Hannu Tenhunen}
}
@article{kanduri:2017a,
  title = {Accuracy Aware Power Management for Many-core
 Systems running Error Resilient Applications},
  author = {Anil Kanduri and Mohammad-Hashem Haghbayan and Amir
 M. Rahmani and Pasi Liljeberg and Axel Jantsch and
 Hannu Tenhunen and Nikil Dutt},
  journal = {IEEE Transactions on Very Large Scale Integration (VLSI) Systems},
  year = {2017},
  month = {October},
  number = {10},
  volume = {25},
  doi = {10.1109/TVLSI.2017.2694388},
  issn = {1063-8210}
}
@article{kanduri:2018a,
  title = {{adBoost}: Thermal Aware Performance Boosting
                  through Dark Silicon Patterning},
  author = {Anil Kanduri and Mohammad-Hashem Haghbayan and Amir
                  M. Rahmani and Muhammad Shafique and Axel Jantsch
                  and Pasi Liljeberg},
  journal = {IEEE Transactions on Computers },
  year = 2018,
  tudatabase = 1,
  doi = {10.1109/TC.2018.2805683},
  url = {http://jantsch.se/AxelJantsch/papers/2018/AnilKanduri-TC-adBoost.pdf}
}
@inproceedings{kanduri:2015a,
  title = {Dark Silicon Aware Runtime Mapping for Many-core
 Systems: A Patterning Approach },
  author = {Anil Kanduri and Mohammad-Hashem Haghbayan and
 Amir-Mohammad Rahmani and Pasi Liljeberg and Axel
 Jantsch and Hannu Tenhunen},
  booktitle = {Proceedings of the International Conference on
 Computer Design (ICCD)},
  year = {2015},
  address = {New York City, USA},
  month = {October},
  pages = {610--617},
  url = {http://jantsch.se/AxelJantsch/papers/2015/AnilKanduri-ICCD.pdf}
}
@incollection{kanduri:2016b,
  title = {Dark Silicon - Challenges and Opportunities},
  author = {Anil Kanduri and Amir M. Rahmani and Pasi Liljeberg
 and Ahmed Hemani and Axel Jantsch and Hannu
 Tenhunen},
  booktitle = {The Dark Side of Silicon},
  publisher = {Springer},
  year = {2016},
  chapter = {1},
  editor = {Amir M. Rahmani and Pasi Liljeberg and Ahmed Hemani
 and Axel Jantsch and Hannu Tenhunen}
}
@article{khatib:2007b,
  title = {Hardware/Software Architecture for Real-Time {ECG} Monitoring and Analysis Leveraging {MPSoC} Technology },
  author = {Iyad Al Khatib and Davide Bertozzi and Francesco Poletti and Luca Benini and Axel Jantsch and Mohamed Bechara and Hasan Khalifeh and Mazen Hajjar and Rustam Nabiev and Sven Jonsson},
  journal = {Transactions on High-Performance Embedded Architectures and Compilers (HiPEAC) },
  year = {2007},
  note = {LNCS 4050},
  number = {1},
  pages = {239-258},
  volume = {I},
  url = {http://jantsch.se/AxelJantsch/papers/2007/HIPEAC-IyadAlKhatib.pdf}
}
@article{khatib:2008a,
  title = {A Multiprocessor System-on-Chip for Real-Time Biomedical Monitoring and Analysis: {ECG} Prototype Architectural Design Space Exploration},
  author = {Iyad Al Khatib and Francesco Poletti and Davide Bertozzi and Luca Benini and Mohamed Bechara and Hasan Khalifeh and Axel Jantsch and Rustam Nabiev},
  journal = {ACM Transactions on Design Automation of Embedded Systems},
  year = {2008},
  month = {April},
  number = {2},
  volume = {13},
  url = {http://jantsch.se/AxelJantsch/papers/2007/ACM-TODAES-Iyad.pdf}
}
@incollection{kiasari:2014a,
  title = {A Heuristic Framework for Designing and Exploring
 Deterministic Routing Algorithm for {NoCs} },
  author = {Abbas Eslami Kiasari and Axel Jantsch and Zhonghai Lu},
  booktitle = {Routing Algorithms in Networks-on-Chip},
  publisher = {Springer},
  year = {2014},
  chapter = {2},
  editor = {Maurizio Palesi and Masoud Daneshtalab },
  pages = {21-40},
  url = {http://jantsch.se/AxelJantsch/papers/2014/AbbasKiasari-RoutingExplorationFramework-BookChapter.pdf }
}
@inproceedings{kumar:1997c,
  title = {Internal Representation for Specification and Design of Heterogenous Systems },
  author = {Shashi Kumar and Axel Jantsch and Peeter Ellervee and Ahmed Hemani and Anshul Kumar },
  booktitle = {Third Workshop on Systems Design Languages, Italy},
  year = {1997},
  month = {July}
}
@inproceedings{lazraq:1995a,
  title = {Modelling of Operation and Maintance Functions in the ATM Network},
  author = {T. Lazraq and B. Svantesson and A. Jantsch and A. Hemani},
  booktitle = {Proc. of the 9th European Simulation Multiconference},
  year = {1995},
  month = {June}
}
@inproceedings{liu:2009c,
  title = {Trigger Algorithm Development on FPGA-based Compute Nodes},
  author = {Ming Liu and Axel Jantsch and Dapeng Jin and Andreas Kopp and Wolfgang Kuehn and Johannes Lang and Lu Li and Soeren Lange and Zhen'an Liu and Zhonghai Lu and David Muenchow and Vladimir Pechenov and Johannes Roskoss and Stephano Spataro and Qiang Wang and Hao Xu},
  booktitle = {16th IEEE NPSS Real Time Conference},
  year = {2009},
  address = {Beijing},
  month = {May},
  url = {http://jantsch.se/AxelJantsch/papers/2009/RT-MingLiu-Algorithm.pdf}
}
@article{liu:2011c,
  title = {A High-end Reconfigurable Computation Platform for Nuclear and Particle Physics Experiments},
  author = {Ming Liu and Wolfgang Kuehn and Soeren Lange and Shua Yang and Johannes Roskoss and Zhonghai Lu and Axel Jantsch and Qiang Wang and Hao Xu and Dapeng Jin and Zhenan Liu },
  journal = {Computing in Science and Engineering},
  year = {2011},
  month = {March-April},
  number = {2},
  pages = {52-63},
  volume = {13},
  url = {http://web.it.kth.se/papers/2010/CSE-MingLiu.pdf}
}
@inproceedings{liu:2009a,
  title = {Run-time Partial Reconfiguration Speed Investigation and Architectural Design Space Exploration },
  author = {Ming Liu and Wolfganga Kuehn and Zhonghai Lu and Axel Jantsch },
  booktitle = {Proceedings of the International Conference on Field Programmable Logic and Applications },
  year = {2009},
  address = {Prague, Chech Republic},
  month = {September },
  url = {http://jantsch.se/AxelJantsch/papers/2009/FPL-MingLiu.pdf}
}
@inproceedings{liu:2008a,
  title = {System-on-an-{FPGA} Design for real-time Particle Track recognition and Reconstruction in Physics Experiments},
  author = {Ming Liu and Wolfgang Kuehn and Zhonghai Lu and Axel Jantsch},
  booktitle = {Proceedings of the Euromicro Diguital System Design Conference },
  year = {2008},
  month = {September},
  url = {http://jantsch.se/AxelJantsch/papers/2008/DSD-MingLiu.pdf}
}
@inproceedings{liu:2007a,
  title = {Hardware/Software Co-design of a General-Purpose Computation Platform in Particle Physics},
  author = {Ming Liu and Wolfgang Kuehn and Zhonghai Lu and Axel Jantsch and Shuo Yang and Tiago Perez and Zhenan Liu },
  booktitle = {Proceedings of the ICFPT },
  year = {2007},
  url = {http://jantsch.se/AxelJantsch/papers/2007/ICFPT-Ming.pdf}
}
@article{liu:2012c,
  title = {A Survey of {FPGA} Dynamic Reconfiguration Design
 Methodology and Applications },
  author = {Ming Liu and Zhonghai Lu and Wolfgang Kuehn and Axel Jantsch},
  journal = {International Journal of Embedded and Real-Time
 Communication Systems International Journal of Embedded
 and Real-Time Communication Systems },
  year = {2012},
  number = {2},
  pages = {23-39},
  volume = {3}
}
@inproceedings{liu:2011a,
  title = { {FPGA}-based Cherenkov Ring Recognition in Nuclear and Particle Physics Experiments},
  author = {Ming Liu and Zhonghai Lu and Wolfgang Kuehn and Axel Jantsch },
  booktitle = {Proceedings of the 7th International Symposium on Applied Reconfigurable Computing },
  year = {2011},
  address = {belfast, UK},
  month = {March},
  url = {http://jantsch.se/AxelJantsch/papers/2011/ComputingScienceEngineering-MingLiu.pdf}
}
@article{liu:2011b,
  title = {{FPGA}-based Particle Recognition in the {HADES} Experiment},
  author = {Ming Liu and Zhonghai Lu and Wolfgang Kuehn and Axel Jantsch},
  journal = {Design and Test of Computers},
  year = {2011},
  month = {July-August},
  url = {http://jantsch.se/AxelJantsch/papers/2011/DesignTest-MingLiu.pdf}
}
@incollection{liu:2011d,
  title = {Adaptively Reconfigurable Controller for the Flash Memory},
  author = {Ming Liu and Zhonghai Lu and Wolfgang Kuehn and Axel Jantsch },
  booktitle = { Book of Flash Memory},
  publisher = {InTech},
  year = {2011},
  note = {ISBN: 978-953-307-272-2},
  url = {http://jantsch.se/AxelJantsch/papers/2011/InTechBook-MingLiu.pdf}
}
@inproceedings{liu:2010a,
  title = {{FPGA}-based Adaptive Computing for Correlated Multi-stream Processing},
  author = {Ming Liu and Zhonghai Lu and Wolfgang Kuehn and Axel Jantsch},
  booktitle = {Proceedings of the Conference Design, Automation and Test Europe},
  year = {2010},
  address = {Dresden, Germany},
  month = {March},
  url = {http://jantsch.se/AxelJantsch/papers/2010/DATE-MingLiu.pdf}
}
@inproceedings{liu:2010b,
  title = {Reducing {FPGA} Reconfiguration Time Overhead using Virtual Configurations},
  author = {Ming Liu and Zhonghai Lu and Wolfgang Kuehn and Axel Jantsch},
  booktitle = {Proceedings of the 5th International Workshop on Reconfigurable Communication Centric Systems-on-Chip },
  year = {2010},
  address = {Karlsruhe, Germany},
  month = {May},
  url = {http://jantsch.se/AxelJantsch/papers/2010/RECOSOC-MingLiu.pdf}
}
@inproceedings{liu:2010c,
  title = {Inter-Process Communication using Pipes in {FPGA}-based Adaptive Computing },
  author = {Ming Liu and Zhonghai Lu and Wolfgang Kuehn and Axel Jantsch },
  booktitle = {Proceedings of the IEEE Annual Symposium on VLSI },
  year = {2010},
  address = {Kefalonia, Greece},
  month = {July},
  url = {http://jantsch.se/AxelJantsch/papers/2010/ISVLSI-MingLiu.pdf}
}
@inproceedings{liu:2009d,
  title = {A Reconfigurable Design Framework for {FPGA} Adaptive Computing },
  author = {Ming Liu and Zhonghai Lu and Wolfgang Kuehn and Shuo Yang and Axel Jantsch },
  booktitle = {Proceedings of the International Conference on ReConFigurable Computing and FPGAs },
  year = {2009},
  address = {Cancun, Mexico},
  month = {December},
  url = {http://jantsch.se/AxelJantsch/papers/2009/ReConFig-MingLiu.pdf}
}
@inproceedings{liu:2008b,
  title = {{ATCA}-based Computation Platform for Data Acquisition and Triggering in Particle Physics Experiments},
  author = {Ming Liu and Tiago Perez and Johannes Lang and Shuo Yang and Wolfgang Kuehn and Hao Xu and Dapeng Jin and Qiang Wang and Lu Li and Zhen'An Liu and Zhonghai Lu and Axel Jantsch},
  booktitle = {Proceedings of the International Conference on Field Programmable Logic and Applications },
  year = {2008},
  month = {September},
  url = {http://jantsch.se/AxelJantsch/papers/2008/FPL-MingLiu.pdf}
}
@article{liu:2013b,
  title = {A Fair and Maximal Allocator for Single-Cycle On-Chip
 Homogeneous Resource Allocation},
  author = {Liu, S. and Jantsch, A. and Lu, Z.},
  journal = {Very Large Scale Integration (VLSI) Systems, IEEE Transactions on},
  year = {2013},
  month = {October},
  doi = {10.1109/TVLSI.2013.2284563},
  issn = {1063-8210},
  url = {http://jantsch.se/AxelJantsch/papers/2013/ShaotengLiu-TVLSI.pdf}
}
@article{mueck:2018a,
  title = {Design Methodology for Responsive and Robust {MIMO}
                  Control of Heterogeneous Multicores},
  author = {T. R. M\"uck and B. Donyanavard and K. Moazzemi and
                  A. M. Rahmani and A. Jantsch and N. D. Dutt},
  journal = {IEEE Transactions on Multi-Scale Computing Systems},
  year = 2018,
  number = 99,
  pages = {1-1},
  volume = {PP},
  doi = {10.1109/TMSCS.2018.2808524},
  issn = {2332-7766},
  tudatabase = 1,
  url = {http://jantsch.se/AxelJantsch/papers/2018/TiagoMueck-TMSCS-MIMOControl.pdf}
}
@inproceedings{ma:2003a,
  title = {A Group of Subword Instructions and Design Issues for Network Processing {RISC} Cores},
  author = { Yutai Ma and Axel Jantsch and Hannu Tenhunen },
  booktitle = { Proceedings of the IEEE NorChip Conference },
  year = {2003},
  month = {November}
}
@inproceedings{ma:2002a,
  title = { Two special register addressing modes for internet protocol processing},
  author = { Yutai Ma and Axel Jantsch and Hannu Tenhunen },
  booktitle = { Proc. of International Network Conference, Plymouth, United kingdom},
  year = {2002},
  month = {July}
}
@inproceedings{ma:2002b,
  title = { Load/Store Unit Design of a Programmable Internet Protocol Processor },
  author = { Yutai Ma and Axel Jantsch and Hannu Tenhunen },
  booktitle = {Proceedings of Swedish System-on-Chip Conference},
  year = {2002},
  month = {March},
  annotate = {no reviewed}
}
@inproceedings{ma:2001a,
  title = { A Flexible Register Access Control for Programmable Protocol Processors },
  author = { Yutai Ma and Axel Jantsch and Hannu Tenhunen },
  booktitle = { Proceedings of the 44th Midwest Symposium on Circuits and Systems (MWSCAS) },
  year = {2001},
  month = { August},
  url = {http://jantsch.se/AxelJantsch/papers/2001/mwscas.pdf}
}
@inproceedings{ma:2000a,
  title = { A Simple Transition Control for FSM Programmable Protocol Processors },
  author = { Yutai Ma and Axel Jantsch and Hannu Tenhunen },
  booktitle = { Proceedings of the 43rd Midwest Symposium on Circuits and Systems (MWSCAS) },
  year = {2000},
  month = { August},
  url = {http://jantsch.se/AxelJantsch/papers/2000/mwscas.pdf}
}
@inproceedings{ma:2000b,
  title = { A Programmable Protocol Processor Architecture for High Speed Internet Protocol Processing },
  author = { Yutai Ma and Axel Jantsch and Hannu Tenhunen },
  booktitle = { Proceedings of the IEEE NORCHIP Conference },
  year = {2000},
  month = {November},
  url = {http://jantsch.se/AxelJantsch/papers/2000/norchip-protproc.pdf }
}
@inproceedings{meincke:1999,
  title = { A Generic Scheme for Communication Representation and Mapping },
  author = { Thomas Meincke and Axel Jantsch and Peeter Ellervee and Ahmed Hemani and Hannu Tenhunen },
  booktitle = { Proceedings of to IEEE Norchip Conference },
  year = {1999}
}
@article{naeem:2013a,
  title = {Scalability Analysis of Memory Consistency Models in
 {NoC} based Distributed Shared Memory {SoCs} },
  author = {Abdul Naeem and Axel Jantsch and Zhonghai Lu },
  journal = {IEEE Transactions on Computer Aided Design of Integrated
 Circuits and Systems },
  year = {2013},
  month = {May},
  number = {5},
  volume = {32},
  url = {http://jantsch.se/AxelJantsch/papers/2013/AbdulNaeem-TCAD.pdf}
}
@incollection{negash:2017a,
  title = {Fog Computing Fundamentals in The {Internet-of-Things}},
  author = {Behailu Negash and Amir M. Rahmani and Pasi
 Liljeberg and Axel Jantsch},
  booktitle = {Fog Computing in the Internet of Things - Intelligence at the Edge},
  publisher = {Springer},
  year = {2018},
  chapter = {1},
  editor = {Amir M. Rahmani and Pasi Liljeberg and
 J\"{u}rgo-S\"{o}ren Preden and Axel Jantsch},
  url = {http://jantsch.se/AxelJantsch/papers/2017/BehailuNegash-FogComputingFundamentals.pdf}
}
@inproceedings{nurmi:2002a,
  title = { Physical Performance Modelling for Platform-based {SoC} Design },
  author = { Tero Nurmi and Hannu Tenhunen and Li-Rong Zheng and Axel Jantsch and Jari Nurmi and Jouni Isoaho },
  booktitle = {Proceedings of the 4th European Workshop on Microelectronics Education },
  year = {2002},
  month = {May}
}
@inproceedings{onils:1998a,
  title = { Grammar Based Modelling and Synthesis of Device Drivers and Bus Interfaces },
  author = { Mattias O'Nils and Johnny \"Oberg and Axel Jantsch },
  booktitle = { Proceedings of the 24th Euromicro Conference, short contribution, Vasteras },
  year = {1998}
}
@article{onils:2001,
  title = { Device Driver and {DMA} Controller Synthesis from {HW}/{SW} Communication Protocol Specifications },
  author = { Mattias O'Nils and Axel Jantsch },
  journal = { Design Automation of Embedded Systems },
  year = {2001},
  month = {April},
  number = {2},
  pages = { 177 - 207 },
  volume = { 6 },
  publisher = { Kluwer Academic Publisher },
  url = { http://jantsch.se/AxelJantsch/papers/2001/device-driver.pdf}
}
@inproceedings{onils:1999a,
  title = { Synthesis of {DMA} Controllers from Architecture Independent Descriptions of {HW}/{SW} Communication Protocols},
  author = { Mattias O'Nils and Axel Jantsch },
  booktitle = { Proceedings of the Twelfth International Conference on VLSI Design },
  year = {1999},
  month = {January}
}
@inproceedings{onils:1999b,
  title = { Operating System Sensitive Device Driver Synthesis from Implementation Independent Protocol Specification },
  author = { Mattias O'Nils and Axel Jantsch },
  booktitle = { Proceedings of Design Automation and Test in Europe },
  year = {1999}
}
@inproceedings{onils:1998b,
  title = { Multi-phase Validation of Hardware/Software Interfaces based on Generated Simulation Models },
  author = { Mattias O'Nils and Axel Jantsch },
  booktitle = { Proceedings of the IEEE International High Level Design Validation and Test Workshop },
  year = {1998},
  month = {November}
}
@inproceedings{onils:1998c,
  title = { Refinement of {HW}/{SW} Communication Channels: Case Study and Comparison },
  author = { Mattias O'Nils and Axel Jantsch },
  booktitle = { Proceedings of the 16th NORCHIP Conference },
  year = {1998},
  month = {November}
}
@inproceedings{onils:1998d,
  title = { {HW}/{SW} Interface Validation in IP based System Design },
  author = { Mattias O'Nils and Axel Jantsch },
  booktitle = { Proceedings of the International Workshop on IP Based Synthesis and System Design },
  year = {1998},
  month = {December}
}
@inproceedings{onils:1997b,
  title = { Communication in Hardware/Software Embedded Systems - A Taxonomy and Problem Formulation },
  author = { Mattias O'Nils and Axel Jantsch },
  booktitle = { Proceedings of the 15th NORCHIP Conference },
  year = {1997},
  month = {November}
}
@inproceedings{onils:1995b,
  title = {Interactive Hardware-Software Partitioning and Memory Allocation Based on Data Transfer Profiling},
  author = {Mattias O'Nils and Axel Jantsch and Ahmed Hemani and Hannu Tenhunen},
  booktitle = {International Conference on Recent Advances in Mechatronics},
  year = {1995},
  month = {August},
  url = {http://jantsch.se/AxelJantsch/papers/1995/ICRAM.pdf}
}
@inproceedings{oberg:1998c,
  title = { Validation of Interface Protocols Using Grammar-based Models },
  author = { Johnny Oeberg and Axel Jantsch and Ahmed Hemani },
  booktitle = { Proceedings of the IEEE International High Level Design Validation and Test Workshop },
  year = {1998}
}
@inproceedings{olson:1999,
  title = { Floating- to Fixed-Point Refinement in {Matlab} with an Object-Oriented Library },
  author = { Henrik Olson and Axel Jantsch and Hannu Tenhunen},
  booktitle = { Proceedings of the IEEE Norchip Conference },
  year = {1999}
}
@inproceedings{pamunuwa:2011a,
  title = {{3-D} Integration and the Limits of Silicon Computation},
  author = {Dinesh Pamunuwa and Matthew Grange and Roshan Weerasekera
 and Axel Jantsch },
  booktitle = {Proceedings of the International Conference on Very Large
 Scale Integration (VLSI-SoC) },
  year = {2011},
  address = {Hong Kong},
  month = {October},
  note = {Invited Talk},
  url = {http://jantsch.se/AxelJantsch/papers/2011/VLSI_SoC-Dinesh.pdf}
}
@article{radetzki:2012b,
  title = {Editorial introduction - Special issue on languages,
 models and model based design for embedded systems},
  author = {Martin Radetzki and Axel Jantsch},
  journal = {Design Automation for Embedded Systems},
  year = {2012},
  month = {July},
  note = {Springer},
  url = {http://jantsch.se/AxelJantsch/papers/2012/DAES-Editorial-RadetzkiJantsch.pdf}
}
@inproceedings{radojicic:2017a,
  title = {Towards Verification of Uncertain Cyber-Physical Systems},
  author = {Radojicic, Carna and Grimm, Christoph and Jantsch, Axel and Rathmair, Michael},
  booktitle = {Proceedings 3rd International Workshop on
 Symbolic and Numerical Methods for Reachability Analysis,
 Uppsala, Sweden, 22nd April 2017},
  year = {2017},
  editor = {{\'A}brah{\'a}m, Erika and Bogomolov, Sergiy},
  month = {June},
  pages = {1-17},
  publisher = {Open Publishing Association},
  series = {Electronic Proceedings in Theoretical Computer Science},
  volume = {247},
  doi = {10.4204/EPTCS.247.1},
  url = {http://jantsch.se/AxelJantsch/papers/2017/CarnaRadojicic-SRN.pdf}
}
@unpublished{rahmani:2016c,
  title = {{SAGE-CPSoC}: Self-Aware {CPSoCs} with Hierarchical Goal Management},
  author = {Amir Rahmani and Axel Jantsch and Nikil Dutt},
  note = { Marie-S\l{}odovska-Curie Action with Global Fellow
 Amir Rahmani, Cooperation between TU Wien and UC
 Irvine, Project number 705617.},
  year = {2016-2019}
}
@inproceedings{rahmani:2015a,
  title = {Dynamic Power Management for Many-Core Platforms in
 the Dark Silicon Era: A Multi-Objective Control Approach},
  author = {Amirmohammad Rahmani and Hannu Tenhunen and Pasi
 Liljeberg and Awet Yemane Weldezion and Srinivasa
 Kanduru and Juha Plosila and Mohammadhashem
 Haghbayan and Axel Jantsch },
  booktitle = { Proceedings of the International Symposium on Low
 Power Electronics and Design },
  year = {2015},
  address = {Rome, Italy},
  month = {July},
  url = {http://jantsch.se/AxelJantsch/papers/2015/AmirMohammadRahmani-ISLPED.pdf}
}
@inproceedings{rahmani:2018b,
  title = {{SPECTR} - Formal Supervisory Control and
 Coordination for Many-core Systems Resource
 Management},
  author = {Amir M. Rahmani and Bryan Donyanavard and Tiago M\"uck
 and Kasra Moazzemi and Axel Jantsch and Onur Mutlu
 and Nikil Dutt },
  booktitle = {Proceedings of the 23rd ACM International Conference
 on Architectural Support for Programming Languages
 and Operating Systems },
  year = {2018},
  address = {Williamsburg, VA, USA},
  month = {March},
  url = {http://jantsch.se/AxelJantsch/papers/2018/AmirRahmani-ASPLOS.pdf}
}
@article{rahmani:2017a,
  title = {Reliability-Aware Runtime Power Management for Many-Core Systems in the Dark Silicon Era},
  author = {A. M. Rahmani and M. H. Haghbayan and A. Miele and P. Liljeberg and A. Jantsch and H. Tenhunen},
  journal = {IEEE Transactions on Very Large Scale Integration (VLSI) Systems},
  year = {2017},
  month = {February},
  number = {2},
  pages = {427-440},
  volume = {25},
  doi = {10.1109/TVLSI.2016.2591798},
  issn = {1063-8210},
  url = {http://jantsch.se/AxelJantsch/papers/2017/AmirRahmani-TVLSI.pdf}
}
@incollection{rahmani:2016b,
  title = {Multi-Objective Power Management for {CMPs} in the
 Dark Silicon Age},
  author = {Amir M. Rahmani and Mohammad-Hashem Haghbayan and
 Pasi Liljeberg and Axel Jantsch and Hannu Tenhunen},
  booktitle = {The Dark Side of Silicon},
  publisher = {Springer},
  year = {2016},
  chapter = {7},
  editor = {Amir M. Rahmani and Pasi Liljeberg and Ahmed Hemani
 and Axel Jantsch and Hannu Tenhunen}
}
@article{raudvere:2008a,
  title = {Application and Verification of Local Non-Semantic-Preserving Transformations in System Design },
  author = {Tarvo Raudvere and Ingo Sander and Axel Jantsch},
  journal = {IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems },
  year = {2008},
  number = {6},
  pages = {1091-1103},
  volume = {27},
  url = {http://jantsch.se/AxelJantsch/papers/2008/TCAD-TarvoRaudvere.pdf}
}
@inproceedings{raudvere:2007a,
  title = {A Synchronization Algorithm for Local Temporal Refinements in Perfectly Synchronous Models with Nested Feedback Loops},
  author = {Tarvo Raudvere and Ingo Sander and Axel Jantsch},
  booktitle = {Proceedings of the Great Lake Symposium on VLSI (GLSVLSI)},
  year = {2007},
  url = {http://jantsch.se/AxelJantsch/papers/2007/GLSVLSI-tarvo-raudvere.pdf}
}
@inproceedings{raudvere:2007c,
  title = {Synchronization after design refinements with sensitive delay elements },
  author = {Tarvo Raudvere and Ingo Sander and Axel Jantsch},
  booktitle = {Proceedings of the International Conference on HW/SW Codesign and System Synthesis},
  year = {2007},
  address = {Salzburg, Austria},
  month = {September},
  url = {http://jantsch.se/AxelJantsch/papers/2007/CODES-tarvo-raudvere.pdf}
}
@inproceedings{raudvere:2004a,
  title = {Polynomial Abstraction for Verification of Sequentially Implemented Combinational Circuits },
  author = { Tarvo Raudvere and Ashish Kumar Singh and Ingo Sander and Axel Jantsch},
  booktitle = {Proceedings of the Design Automation and Test Europe Conference (DATE)},
  year = {2004},
  month = {February},
  note = {interactive presentation}
}
@inproceedings{sander:2002a,
  title = {Transformation Based Communication and Clock Domain Refinement for System Design },
  author = { Ingo Sander and Axel Jantsch},
  booktitle = {Proceedings of Design Automation Conference },
  year = {2002},
  month = {June},
  url = {http://jantsch.se/AxelJantsch/papers/2002/dac.pdf}
}
@inproceedings{sander:1999,
  title = { System Synthesis Utilizing a Layered Functional Model },
  author = { Ingo Sander and Axel Jantsch },
  booktitle = { Proceedings of the 7th International Workshop on Hardware/Software Codesign },
  year = {1999},
  month = {May},
  pages = { 136-141 }
}
@inproceedings{sander:1999a,
  title = { Formal Design Based on the Synchronous Approach, Functional Models and Skeletons },
  author = { Ingo Sander and Axel Jantsch },
  booktitle = { Proceedings of the Twelfth International Conference on VLSI Design },
  year = {1999}
}
@inproceedings{sander:1999b,
  title = { System Synthesis Based on a Formal Computational Model and Skeletons },
  author = { Ingo Sander and Axel Jantsch },
  booktitle = { Proceedings of the IEEE Computer Society Annual Workshop on VLSI},
  year = {1999}
}
@inproceedings{sander:2004b,
  title = {The Platform as Interface in a {SoC} Design Curriculum},
  author = {Ingo Sander and Axel Jantsch and Hannu Tenhunen},
  booktitle = {Proceedings of te 5t European Worksop on Microelectronics Education },
  year = {2004},
  month = {April}
}
@inproceedings{schaumont:2000a,
  title = { Object Oriented Approach versus Functional Approach in System Design },
  author = { Patrick Schaumont and Mary Sheeran and Satnam Singh and Axel Jantsch },
  booktitle = { Proceedings of the Forum on Design Languages },
  year = {2000}
}
@article{seceleanu:2008a,
  title = {Modeling Communication with Synchronized Environments },
  author = { Tiberiu Seceleanu and Axel Jantsch},
  journal = {Fundamenta Informaticae },
  year = {2008},
  month = {October},
  number = {3},
  pages = {343-369},
  volume = {86},
  url = {http://jantsch.se/AxelJantsch/papers/2008/FundamentaInformaticae-TibiSeceleanu.pdf}
}
@inproceedings{seceleanu:2006a,
  title = {Communicating with Synchronized Environments },
  author = { Tiberiu Seceleanu and Axel Jantsch},
  booktitle = { Proceedings of the Sixth International Conference on Application of Concurrency to System Design },
  year = {2006},
  month = {June},
  url = {http://jantsch.se/AxelJantsch/papers/2006/ACSD-seceleanu.pdf}
}
@inproceedings{seceleanu:2006b,
  title = {On-Chip Distributed Architectures},
  author = {T. Seceleanu and A. Jantsch and H. Tenhunen },
  booktitle = {Proceedings of the IEEE International SOC Conference},
  year = {2006},
  month = {September },
  pages = {329 - 330}
}
@inproceedings{she:2012a,
  title = {System-Level Evaluation of Sensor Networks Deployment
 Strategies: Coverage Lifetime and Cost },
  author = {Huimin She and Zhonghai Lu and Axel Jantsch},
  booktitle = {Proceedings of the 8th International Wireless
 Communications and Mobile Computing Conference},
  year = {2012}
}
@inproceedings{she:2008a,
  title = {Deterministic Worst-case Performance Analysis for Wireless Sensor Networks },
  author = {Huimin She and Zhonghai Lu and Axel Jantsch},
  booktitle = {Proceedings of the International Wireless Communications and Mobile Computing Conference },
  year = {2008},
  address = {Crete, Greece},
  month = {August},
  url = {http://jantsch.se/AxelJantsch/papers/2008/IWCMC-HuiminShe.pdf}
}
@inproceedings{she:2012b,
  title = {Estimation of Statistical Bandwidth through Backlog
 Measurement },
  author = {Huimin She and Zhonghai Lu and Axel Jantsch and Li-Rong
 Zheng },
  booktitle = {Workshop on Network Calculus (WoNeCa2012)},
  year = {2012},
  month = {March},
  url = {http://jantsch.se/AxelJantsch/papers/2012/HuiminShe-WoNeCa.pdf}
}
@article{she:2008b,
  title = {Analysis of Traffic Splitting Mechanisms for {2D} Mesh
 Sensor Networks },
  author = {Huimin She and Zhonghai Lu and Axel Jantsch
 and Li-Rong Zheng and Dian Zhou },
  journal = {International Journal of Software Engineering and Its
 Applications (IJSEIA) },
  year = {2008},
  month = {July},
  number = {3},
  volume = {2},
  url = {http://jantsch.se/AxelJantsch/papers/2012/HuiminShe-IJSEIA.pdf}
}
@inproceedings{she:2007b,
  title = {Traffic Splitting with Network Calculus for Mesh Sensor Networks },
  author = {Huimin She and Zhonghai Lu and Axel Jantsch and Li-Rong Zheng and Dian Zhou },
  booktitle = {Proceedings of the Future Generation Communication and
 Networking (FGCN)},
  year = {2007},
  month = {December},
  url = {http://jantsch.se/AxelJantsch/papers/2007/WAMSnet-HuiminShe.pdf}
}
@inproceedings{she:2007a,
  title = {A Network-based System Architecture for Remote Medical Applications},
  author = {Huimin She and Zhonghai Lu and Axel Jantsch and Dian Zhou},
  booktitle = {Proceedings of the Asia-Pacific Advanced Network Meeting },
  year = {2007},
  url = {http://jantsch.se/AxelJantsch/papers/2007/APAN-HuiminShe.pdf}
}
@article{she:2012c,
  title = {Performance Analysis of Flow Based Traffic Splitting
 Strategy on Cluster-Mesh Sensor Networks },
  author = {Huimin She and Zhonghai Lu and Axel Jantsch and Dian Zhou
 and Li-Rong Zheng },
  journal = {International Journal of Distributed Sensor Networks},
  year = {2012},
  url = {http://jantsch.se/AxelJantsch/papers/2012/HuiminShe-JDistributedSensorNetworks.pdf}
}
@inproceedings{she:2011a,
  title = {Stochastic Coverage in Event-Driven Sensor Networks },
  author = { Huimin She and Zhonghai Lu and Axel Jantsch and Dian
 Zhou and Li-Rong Zheng },
  booktitle = {Proceedings of the IEEE Symposium on Personal, Indoor,
 Mobile and Radio Communications (PIMRC)},
  year = {2011},
  address = {Toronto, Canada},
  month = {9}
}
@inproceedings{she:2011b,
  title = {Modeling and Analysis of Rayleigh Fading Channels using
 Stochastic Network Calculus },
  author = {Huimin She and Zhonghai Lu and Axel Jantsch and Dian Zhou
 and Li-Rong Zheng },
  booktitle = {Proceedings of IEEE Wireless Communication and Networking
 Conference (WCNC2011},
  year = {2011},
  address = {Mexico},
  month = {April},
  url = {http://jantsch.se/AxelJantsch/papers/2012/HuiminShe-WCNC.pdf}
}
@inproceedings{she:2009a,
  title = {Analytical Evaluation of Retransmission Schemes in Wireless Sensor Networks},
  author = {Huimin She and Zhonghai Lu and Axel Jantsch and Dian Zhou and Li-Rong Zheng},
  booktitle = {Proceedings of the IEEE 69th Vehicular Technology Conference: VTC2009-Spring},
  year = {2009},
  month = {April},
  url = {http://jantsch.se/AxelJantsch/papers/2009/VTC-HuiminShe.pdf}
}
@inproceedings{soininen:2003a,
  title = {Extending Platform-Based Design to Network on Chip Systems},
  author = {Juha-Pekka Soininen and Axel Jantsch and Martti Forsell and Antti Pelkonen and Jari Kreku and Shashi Kumar },
  booktitle = {Proceedings of the International Conference on VLSI Design },
  year = {2003},
  month = {January},
  url = {http://jantsch.se/AxelJantsch/papers/2003/VLSI-Soininen.pdf}
}
@article{steininger:2015a,
  title = {Building reliable systems-on-chip in nanoscale technologies},
  author = {Andreas Steininger and Horst Zimmermann and Axel
 Jantsch and Michael Hofbauer and Ulrich Schmid and
 Kurt Schweiger and Varadan Savulimedu Veeravalli},
  journal = {Elektrotechnik \& Informationstechnik},
  year = {2015},
  month = {August},
  number = {6},
  pages = {301--306},
  volume = {132},
  doi = {10.1007/s00502-015-0319-0},
  publisher = {Springer},
  url = {http://jantsch.se/AxelJantsch/papers/2015/AndreasSteininger-Springer-EuI.pdf}
}
@inproceedings{taherinejad:2016b,
  title = {Fully Digital Write-in Scheme for Multi-Bit Memristive Storage},
  author = {Nima TaheriNejad and Sai Manoj P. D. and Michael
 Rathmair and Axel Jantsch },
  booktitle = {13th International Conference on Electrical
 Engineering, Computing Science and Automatic Control
 (CCE 2016) },
  year = {2016},
  address = {Mexico},
  month = {September},
  url = {http://jantsch.se/AxelJantsch/papers/2016/NimaTaherinejad-CCE.pdf}
}
@incollection{tammemae:2017a,
  title = {Self-Aware Fog Computing in Private and Secure Spheres},
  author = {Kalle Tammem\"{a}e and Axel Jantsch and Alar Kuusik
 and J\"{u}rgo-S\"{o}ren Preden and Enn \~{O}unapuu},
  booktitle = {Fog Computing in the Internet of Things - Intelligence at the Edge},
  publisher = {Springer},
  year = {2018},
  editor = {Amir M. Rahmani and Pasi Liljeberg and
 J\"{u}rgo-S\"{o}ren Preden and Axel Jantsch},
  url = {http://jantsch.se/AxelJantsch/papers/2017/KalleTammemmae-SelfAwareFogComputing.pdf}
}
@inproceedings{tammemae:1996a,
  title = {Hardware/Software Cosynthesis for Reconfigurable Systems},
  author = {Kalle Tammemae and Mattias O'Nils and Axel Jantsch and Ahmed Hemani},
  booktitle = {Proceedings of IEE Colloquium Hardware-Software Cosynthesis for Reconfigurable Systems},
  year = {1996},
  month = {February}
}
@book{tatas:2014a,
  title = {Designing 2D and 3D Network-on-Chip Architectures},
  author = {Konstantinos Tatas and Kostas Siozios and Dimitrios
 Soudris and Axel Jantsch },
  publisher = {Springer},
  year = {2014}
}
@inproceedings{wang:2015a,
  title = {Design of Fault-Tolerant and Reliable Networks-on-Chip},
  author = {Junshi Wang and Masoumeh Ebrahimi and Letian Huang
 and Axel Jantsch and Guangjun Li },
  booktitle = {IEEE Annual Symposium on VLSI (ISVLSI)},
  year = {2015},
  address = {Montpelllier, France},
  month = {July},
  url = {http://jantsch.se/AxelJantsch/papers/2015/JunshiWang-ISVLSI.pdf}
}
@article{wang:2017a,
  title = {Minimizing the System Impact of Router Faults by
 Means of Reconfiguration and Adaptive Routing},
  author = {Junshi Wang and Masoumeh Ebrahimi and Letian Huang
 and Qiang Li and Guangjun Li and Axel Jantsch},
  journal = {Microprocessors and Microsystems},
  year = {2017},
  month = {June},
  pages = {252 - 263},
  volume = {51},
  doi = {https://doi.org/10.1016/j.micpro.2017.02.004},
  file = {JunshiWang-MicPro.pdf :http\://jantsch.se/AxelJantsch/papers/2017/JunshiWang-MicPro.pdf :PDF},
  issn = {0141-9331},
  url = {http://www.sciencedirect.com/science/article/pii/S0141933117301059}
}
@article{wang:2016a,
  title = {Calculation of delivery rate in fault-tolerant network-on-chips},
  author = { Junshi Wang and Letian Huang and Guangjun Li and Axel Jantsch },
  journal = {Electronics Letters},
  year = {2016},
  month = {March},
  doi = { 10.1049/el.2015.2803 },
  issn = { 1350-911X },
  url = {http://jantsch.se/AxelJantsch/papers/2016/JunshiWang-ElectronicLetters.pdf}
}
@inproceedings{wang:2016b,
  title = {Optimizing the Location of {ECC} Protection in Network-on-Chip},
  author = { Junshi Wang and Letian Huang and Qiang Li and
 Guangjun Li and Axel Jantsch},
  booktitle = {Proceeding of the International Conference on
 Hardware/Software Codesign and System Synthesis (CODES+ISSS)},
  year = {2016},
  address = {Pittsburgh},
  month = {October},
  doi = {10.1145/2968456.2968460},
  url = {http://jantsch.se/AxelJantsch/papers/2016/JunshiWang-CODES.pdf}
}
@inproceedings{wang:2016c,
  title = {{VisualNoC}: A Visualization and Evaluation Environment for Simulation and Mapping},
  author = {Wang, Junshi and Huang, Yang and Ebrahimi, Masoumeh and
 Huang, Letian and Li, Qiang and Jantsch, Axel and
 Li, Guangjun},
  booktitle = {Proceedings of the Third ACM International Workshop on Many-core Embedded Systems},
  year = {2016},
  address = {New York, NY, USA},
  pages = {18--25},
  publisher = {ACM},
  series = {MES '16},
  acmid = {2949544},
  doi = {10.1145/2934495.2949544},
  isbn = {978-1-4503-4262-9},
  location = {Seoul, Republic of Korea},
  numpages = {8},
  url = {http://doi.acm.org/10.1145/2934495.2949544}
}
@inproceedings{liu:2009b,
  title = {Hardware/Software Co-design of an {ATCA}-based Computation Platform for Data Acquisition and Triggering},
  author = {Qiang Wang and Axel Jantsch and Dapeng Jin and Andreas Kopp and Wolfgang Kuehn and Johannes Lang and Soeren Lange and Lu Li and Ming Liu and Zhen'an Liu and Zhonghai Lu and David Muenchow and Johannes Roskoss and Hao Xu},
  booktitle = {16th IEEE NPSS Real Time Conference},
  year = {2009},
  address = {Beijing},
  month = {May},
  url = {http://jantsch.se/AxelJantsch/papers/2009/RT-MingLiu-Codesign.pdf}
}
@inproceedings{wang:2006a,
  title = {A New Protocol for Electing Cluster head Based on Maximum Residual Energy },
  author = {Weixing Wang and Axel Jantsch },
  booktitle = {Proceedings of the IEEE International Cross-Layer Designs and Protocols Symposium },
  year = {2006},
  month = {July}
}
@inproceedings{wang:2005a,
  title = {An algorithm of electing cluster head in beacon node distributions based on maximum residual energy },
  author = {Weixing Wang and Axel Jantsch and Shuran Song},
  booktitle = {Proceedings of Annual Conference on Chinese Society of Agricultural Engineering },
  year = {2005},
  address = {Guangzhou City, P. R. of China},
  month = {December},
  volume = {3:535-539},
  url = {http://jantsch.se/AxelJantsch/papers/}
}
@inproceedings{wu:2000a,
  title = { Transformational System Design based on a Formal Computational Model and Skeletons },
  author = { Wenbiao Wu and Ingo Sander and Axel Jantsch },
  booktitle = { Proceedings of the Forum on Design Languages },
  year = {2000},
  month = {September},
  url = { http://jantsch.se/AxelJantsch/papers/2000/FLD-WenbiaWu.pdf}
}
@inproceedings{zhang:2015a,
  title = {A Network-Level Solution for Fault Detection,
 Masking, and Tolerance in {NoCs} },
  author = {Xiaofan Zhang and Masoumeh Ebrahimi and Letian Huang
 and Guangjun Li and Axel Jantsch },
  booktitle = {Proceedings of 23rd IEEE Euromicro Conference on
 Parallel, Distributed and Network-Based Computing,
 (PDP) },
  year = {2015},
  address = {Finland},
  month = {March},
  url = {http://jantsch.se/AxelJantsch/papers/2015/XiaofanZhang-PDP.pdf}
}
@inproceedings{zhang:2015b,
  title = {Exploring Stacked Main Memory Architecture for {3D}
 {GPGPUs}},
  author = { Yuang Zhang and Li Li and Axel Jantsch and Zhonghai
 Lu and Minglun Gao and Yuxiang Fu and Hongbing Pan},
  booktitle = { IEEE International Conference on ASIC (ASICON)},
  year = {2015},
  address = {Chengdu, China},
  url = {http://jantsch.se/AxelJantsch/papers/2015/YuangZhang-ASICON.pdf}
}
@inproceedings{zhang:2014b,
  title = {Performance and network power evaluation of tightly mixed
 {SRAM} {NUCA} for {3D} Multi-core Network on Chips},
  author = {Yuang Zhang and Li Li and Zhonghai Lu and Axel Jantsch and
 Yuxiang Fu and Minglun Gao},
  booktitle = {IEEE International Symposium on Circuits and Systems (ISCAS) },
  year = {2014},
  month = {June},
  pages = {1961-1964},
  doi = {10.1109/ISCAS.2014.6865546},
  url = {http://jantsch.se/AxelJantsch/papers/2014/YuangZhang-ISCAS.pdf }
}
@article{zhang:2014a,
  title = {A survey of memory architecture for 3D chip multi-processors },
  author = {Yuang Zhang and Li Li and Zhonghai Lu and Axel Jantsch and
 Minglun Gao and Hongbing Pan and Feng Han},
  journal = {Microprocessors and Microsystems },
  year = {2014},
  month = {July},
  doi = {http://dx.doi.org/10.1016/j.micpro.2014.03.007},
  file = {YuangZhang-MICPRO-Survey.pdf :http\://jantsch.se/AxelJantsch/papers/2014/YuangZhang-MICPRO-Survey.pdf :PDF},
  issn = {0141-9331},
  url = {http://www.sciencedirect.com/science/article/pii/S0141933114000441}
}
@article{zhu:2012a,
  title = {Performance analysis of reconfigurations in adaptive real-time streaming applications},
  author = {Jun Zhu and Ingo Sander and Axel Jantsch},
  journal = {ACM Transactions in Embedded Computing Systems -- Special issue on Embedded Systems for Real-time Multimedia},
  year = {2012},
  month = {May},
  url = {http://jantsch.se/AxelJantsch/papers/2010/TECS-JunZhu.pdf}
}
@inproceedings{zhu:2010b,
  title = {{Pareto} Efficient Design for Reconfigurable Streaming Applications on {CPU/FPGAs}},
  author = {Jun Zhu and Ingo Sander and Axel Jantsch},
  booktitle = {Proceedings of {D}esign {A}utomation and {T}est in {E}urope ({DATE} '10)},
  year = {2010},
  address = {Dresden, Germany},
  month = {March},
  url = {http://jantsch.se/AxelJantsch/papers/2010/DATE-JunZhu.pdf}
}
@inproceedings{zhu:2010c,
  title = {Constrained Global Scheduling of Streaming Applications on {MPSoCs}},
  author = {Jun Zhu and Ingo Sander and Axel Jantsch},
  booktitle = {Proceedings of the conference on {A}sia {S}outh {P}acific {D}esign {A}utomation ({ASP}-{DAC} '10)},
  year = {2010},
  address = {Taipei, Republic of China},
  month = {January},
  url = {http://jantsch.se/AxelJantsch/papers/2010/ASPDAC-JunZhu.pdf}
}
@book{nurmi:2004a,
  title = {Interconnect-Centri Design for Advanced {SoC}s and NoCs },
  editor = { Jari Nurmi and Hannu Tenhunen and Jouni Isoaho and Axel Jantsch },
  publisher = {Kluwer Academic Publisher},
  year = {2004},
  month = {April}
}
@book{rahmani:2018a,
  title = {Fog Computing in the Internet of Things},
  editor = { Amir Rahmani and Pasi Liljeberg and
 J\"{u}rgo-S\"{o}ren Preden and Axel Jantsch },
  publisher = {Springer},
  year = {2018},
  isbn = {978-3-319-57638-1}
}
@book{rahmani:2016a,
  title = {The Dark Side of Silicon},
  editor = { Amir Mohammad Rahmani and Pasi Liljeberg and Ahmed
 Hemani and Axel Jantsch and Hannu Tenhunen},
  publisher = {Springer},
  year = {2016},
  isbn = {978-3-319-31594-2},
  url = {http://www.springer.com/gb/book/9783319315942}
}
@book{sheibanyrad:2011a,
  title = {{3D} Integartion for {NoC}-based {SoC} Architectures},
  editor = {Abbas Sheibanyrad and Fr\'ed\'eric P\'etrot and Axel Jantsch},
  publisher = {Springer},
  year = {2011},
  month = {January},
  series = {Integrated Circuits and Systems},
  url = {http://www.springer.com/alert/urltracking.do?id=L16ba96M6e154bSacf1b02}
}

This file was generated by bibtex2html 1.99.