publ-noc.bib

@comment{{This file has been generated by bib2bib 1.99}}
@comment{{Command line: bib2bib -q -ob publ-noc.bib --remove keywords -c 'key : "noc" or key : "nostrum"' /home/jantsch/Website/jantsch.se/AxelJantsch/publist.bib}}
@comment{{This file has been generated by bib2bib 1.99}}
@comment{{Command line: bib2bib -q -oc /home/jantsch/Website/jantsch.se/AxelJantsch/citefile -c '(( author : "Jantsch" or ( editor : "Jantsch" and $type : "book" ))
	    and ( not ( $key : "presentation" ))
	    and ( not ( $type : "techreport" ))
	    and ( not ( $type : "misc" ))
	    and ( not ( annotate : "not reviewed" )))
	    or $key = "hauer:2021a"
	    ' /home/jantsch/text/papers/lit.bib}}
@comment{{Example entry for online references:
miscWinNT,
  title = MS Windows NT Kernel Description,
  howpublished = \urlhttp://web.archive.org/web/20080207010024/http://www.808multimedia.com/winnt/kernel.htm,
  note = Accessed: 2010-09-30
}}
@comment{{Example:
miscWikiQuineMcCluskey,
  title =	 Quine-McCluskey Algorithm,
  author =  Wikipedia ,
  year = 2021,
  howpublished =
                  \urlhttps://en.wikipedia.org/wiki/Quine%E2%80%93McCluskey_algorithm,
  note =	 Accessed: 2021-08-11
}}
@inproceedings{ruaro:2019b,
  author = {Ruaro, Marcelo and Velloso, Nedison and Jantsch,
                  Axel and Moraes, Fernando G.},
  title = {Distributed {SDN} Architecture for {NoC}-Based
                  Many-Core {SoCs}},
  year = 2019,
  isbn = 9781450367004,
  publisher = {Association for Computing Machinery},
  address = {New York, NY, USA},
  url = {https://doi.org/10.1145/3313231.3352361},
  doi = {10.1145/3313231.3352361},
  booktitle = {Proceedings of the 13th IEEE/ACM International
                  Symposium on Networks-on-Chip},
  articleno = {Article 8},
  numpages = 8,
  key = {nostrum},
  location = {New York, New York},
  series = {NOCS ’19}
}
@article{ruaro:2019a,
  author = {Ruaro, Marcelo and Jantsch, Axel and Moraes,
                  Fernando Gehm},
  title = {Self-Adaptive {QoS} Management of Computation and
                  Communication Resources in Many-Core {SoCs}},
  journal = {ACM Transactions on Embedding Computing Systems},
  issue_date = {June 2019},
  volume = 18,
  number = 4,
  month = jun,
  year = 2019,
  issn = {1539-9087},
  pages = {37:1--37:21},
  articleno = 37,
  numpages = 21,
  url = {http://doi.acm.org/10.1145/3328755},
  doi = {10.1145/3328755},
  acmid = 3328755,
  publisher = {ACM},
  address = {New York, NY, USA},
  key = {nostrum}
}
@article{wang:2019a,
  author = {Junshi Wang and M. Ebrahimi and L. Huang and X. Xie
                  and Q. Li and G. Li and A. Jantsch},
  journal = {IEEE Transactions on Computers},
  title = {Efficient Design-for-Test Approach for
                  Networks-on-Chip},
  year = 2019,
  month = {February},
  volume = 68,
  number = 2,
  pages = {198-213},
  key = {nostrum},
  tudatabase = 1,
  doi = {10.1109/TC.2018.2865948},
  url = {http://jantsch.se/AxelJantsch/papers/2018/JunshiWang-IEEETC-DesignForTest.pdf},
  issn = {0018-9340}
}
@inproceedings{khatib:2007a,
  title = {Performance Analysis and Design Space Exploration for High-End Biomedical Applications: Challenges and Solutions },
  author = {Iyad Al-Khatib and Davide Bertozzi and Axel Jantsch and Luca Benini },
  booktitle = { Proceedings of the International Conference on Hardware - Software Codesign and System Synthesis },
  year = {2007},
  month = {September},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2007/CODES-Iyad.pdf}
}
@article{anagnostopoulos:2011a,
  title = {Custom Microcoded Dynamic Memory Management for Distributed On-Chip Memory Organizations },
  author = {Iraklis Anagnostopoulos and Sotirios Xydis and Alexandros Bartzas and Zhonghai Lu and Dimitrios Soudris and Axel Jantsch},
  journal = {IEEE Embedded Systems Letters},
  year = {2011},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2011/ESL-IraklisAnagnostopoulos.pdf}
}
@inproceedings{badlund:2007a,
  title = {An analytical approach for dimensioning mixed traffic networks },
  author = {Per Badlund and Axel Jantsch},
  booktitle = {Proceedings of the 1st Symposium on Networks on Chip},
  year = {2007},
  month = {May},
  note = {poster},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2007/NOCS-PerBadlund.pdf}
}
@incollection{candaele:2011a,
  title = {The {MOSART} Mapping Optimization for multi-core Architectures },
  author = {Bernard Candaele and Sylvain Aguirre and Michel Sarlotte and Iraklis Anagnostopoulos and Sotirios Xydis and Alexandros Bartzas and Dimitris Bekiaris and Dimitrios Soudris and Zhonghai Lu and Xiaowen Chen and Jean-Michel Chabloz and Ahmed Hemani and Axel Jantsch and Geert Vanmeerbeeck and Jari Kreku and Kari Tiensyrja and Fragkiskos Ieromnimon and Dimitrios Kritharidis and Andreas Wiefrink and Bart Vanthournout and Philippe Martin },
  booktitle = {Designing Very Large Scale Integration Systems: Emerging Trends and Challenges},
  publisher = {Springer},
  year = {2011},
  editors = {N. Voros, A. Mukherjee, N. Sklavos, K. Masselos, M. Huebner},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2011/ISVLSI-BookChapter.pdf}
}
@inproceedings{candaele:2010a,
  title = {Mapping Optimisation for Scalable multi-core ARchiTecture: The {MOSART} approach},
  author = {Bernard Candaele and Sylvain Aguirre and Michel Sarlotte and Iraklis Anagnostopoulos and Sotirios Xydis and Alexandros Bartzas and Dimitris Bekiaris and Dimitrios Soudris and Zhonghai Lu and Xiaowen Chen and Jean-Michel Chabloz and Ahmed Hemani and Axel Jantsch and Geert Vanmeerbeeck and Jari Kreku and Kari Tiensyrja and Fragkiskos Ieromnimon and Dimitrios Kritharidis and Andreas Wiefrink and Bart Vanthournout and Philippe Martin },
  booktitle = {Proceedings of the IEEE Annual Symposium on VLSI},
  year = {2010},
  address = {Kefalonia, Greece},
  month = {July},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2010/ISVLSI-MOSART.pdf}
}
@inproceedings{chen:2010e,
  title = {Area and Performance Optimization of Barrier Synchronization on Multi-core Network-on-Chips},
  author = {Xiaowen Chen and Shuming Chen and Zhonghai Lu and Axel Jantsch},
  booktitle = {3rd IEEE International Conference on Computer and Electrical Engineering (ICCEE)},
  year = {2010},
  address = {Chengdu, China},
  month = {November},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2010/ICCEE-XiaowenChen.pdf}
}
@inproceedings{chen:2010g,
  title = {Multi-{FPGA} Implementation of a Network-on-Chip Based Many-core Architecture with Fast Barrier Synchronization Mechanism},
  author = {Xiaowen Chen and Shuming Chen and Zhonghai Lu and Axel Jantsch },
  booktitle = {Proceedings of the IEEE Norchip Conference },
  year = {2010},
  address = {Tampere, Finland},
  month = {November},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2010/Norchip-XiaowenChen.pdf}
}
@inproceedings{chen:2010f,
  title = {Run-time Partitioning of Hybrid Distributed Shared Memory on Multi-core Network-on-Chips },
  author = {Xiaowen Chen and Zhonghai Lu and Shuming Chen and Axel Jantsch},
  booktitle = {The 3rd IEEE International Symposium on Parallel Architectures, Algorithms and Programming (PAAP 2010) },
  year = {2010},
  address = {Dalian, China},
  month = {December},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2010/PAAP-XiaowenChen.pdf}
}
@inproceedings{chen:2010a,
  title = {Supporting Distributed Shared Memory on Multi-core Network-on-Chips Using a Dual Microcoded Controller},
  author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and Shuming Chen },
  booktitle = {Proceedings of the confernece for Design Automation and Test in Europe },
  year = {2010},
  address = {Dresden, Germany},
  month = {March},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2010/DATE-Xiaowen.pdf}
}
@inproceedings{chen:2010b,
  title = {Supporting Efficient Synchronization in Multi-core {NoCs} Using Dynamic Buffer Allocation Technique },
  author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and Shuming Chen},
  booktitle = {Proceedings of the IEEE Annual Symposium on VLSI },
  year = {2010},
  address = {Kefalonia, Greece},
  month = {July},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2010/ISVLSI-XiaowenChen.pdf}
}
@inproceedings{chen:2010d,
  title = {Handling Shared Variable Synchronization in Multi-core Network-on-Chip with Distributed Memory},
  author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and Shuming Chen},
  booktitle = {International SOC Conference},
  year = {2010},
  address = {Las Vegas, Nevada},
  month = {September},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2010/SOCC-XiaowenChen.pdf}
}
@inproceedings{chen:2009a,
  title = {Speedup Analysis of Data-parallel Applications on Multi-core {NoCs}},
  author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and Shuming Chen },
  booktitle = {Proceedings of the IEEE International Conference on ASIC (ASICON) },
  year = {2009},
  address = {Changsha, China},
  month = {October},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2009/ASICON-XiaowenChen.pdf}
}
@article{chen:20141a,
  title = {Cooperative communication for efficient and scalable all-to-all barrier synchronization on mesh-based many-core NoCs},
  author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and Shuming Chen and Yang Guo and Hengzhu Liu},
  journal = {IEICE Electronics Express},
  year = {2014},
  number = {18},
  pages = {20140542-20140542},
  volume = {11},
  doi = {10.1587/elex.11.20140542},
  key = {nostrum}
}
@article{chen:2011a,
  title = {Cooperative communication based barrier synchronization in on-chip mesh architectures},
  author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and Shuming Chen and Hai Liu},
  journal = {IEICE Electronics Express},
  year = {2011},
  number = {22},
  pages = {1856-1862},
  volume = {8},
  key = {nostrum},
  url = { http://www.jstage.jst.go.jp/article/elex/8/22/8_1856/_article }
}
@inproceedings{chen:2010c,
  title = {A Worst Case performance model for {TDM} Virtual Circuit in NOCs},
  author = {Zhipeng Chen and Axel Jantsch},
  booktitle = {Proceedings of the International Workshop on Network on Chip },
  year = {2010},
  address = {Zheng Zhou, China},
  month = {September},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2010/IWNoC-ZhipengChen.pdf}
}
@inproceedings{deivasigamani:2011a,
  title = {Concept and Design of Exhaustive-Parallel search
 algorithm for {Network-on-Chip} },
  author = {Meganathan Deivasigamani and Shaghayeghsadat Tabatabaei
 and Naveed Mustafa and Hamza Ijaz and Haris Bin Aslam and
 Shaoteng Liu and Axel Jantsch},
  booktitle = {Proceedings of the International SoC Conference},
  year = {2011},
  month = {September},
  pages = {150-155},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2011/SoCC-Meganathan.pdf}
}
@inproceedings{ejaz:2013b,
  title = {Costs and Benefits of Flexibility in Spatial Division
 Circuit Switched Networks-on-Chip },
  author = {Ahsen Ejaz and Axel Jantsch},
  booktitle = {Proceedings of the Sixth International Workshop on
 Network on Chip Architecture},
  year = {2013},
  address = {Davis, CA},
  month = {December},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2013/AhsenEjaz-NoCArc-pdf}
}
@inproceedings{feng:2011a,
  title = {Evaluation of Deflection Routing on Various {NoC}
 Topologies },
  author = {Chaochao Feng and Jinwen Li and Zhonghai Lu and Axel
 Jantsch and Minxuan Zhang},
  booktitle = {Proceedings of the IEEE International Conference on ASIC
 (ASICON) },
  year = {2011},
  address = {Xiamen, China},
  month = {October},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2011/ASICON-ChaochaoFeng.pdf}
}
@inproceedings{feng:2010a,
  title = {{FoN}: Fault-on-Neighbor aware Routing Algorithm for Networks-on-Chip },
  author = {Chaochao Feng and Zhonghai Lu and Axel Jantsch and Jinwen Li and Minxuan Zhang},
  booktitle = {International SOC Conference},
  year = {2010},
  address = {Las Vegas, Nevada},
  month = {September},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2010/SOCC-ChaochaoFeng.pdf}
}
@inproceedings{feng:2010b,
  title = {A Reconfigurable Fault-tolerant Deflection Routing Algorithm Based on Reinforcement Learning for Networks-on-Chip },
  author = {Chaochao Feng and Zhonghai Lu and Axel Jantsch and Jinwen Li and Minxuan Zhang},
  booktitle = {Proceedings of the International Workshop on Network on Chip Architectures (NoCArc) },
  year = {2010},
  address = {Atlanta, Gorgia },
  month = {November},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2010/NoCArc-ChaochaoFeng.pdf}
}
@article{feng:2012b,
  title = {A 1-cycle 1.25{GHz} Bufferless Router for {3D}
 Network-on-Chip },
  author = {Chaochao Feng and Zhonghai Lu and Axel Jantsch and
 Minxuan Zhang},
  journal = {IEICE Transactions on Information and Systems},
  year = {2012},
  month = {May},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2012/IEICE-ChaochaoFeng-3DRouter.pdf}
}
@inproceedings{feng:2011b,
  title = {A Low-overhead Fault-aware Deflection Routing Algorithm for {3D} Network-on-Chip },
  author = {Chaochao Feng and Zhonghai Lu and Axel Jantsch and Minxuan Zhang and Jinwen Li and Jiang Jiang },
  booktitle = {Proceedings of the IEEE Annual Symposium on VLSI (ISVLSI)},
  year = {2011},
  address = {Chennai, India},
  month = {July},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2011/ISVLSI-ChaochaoFeng.pdf}
}
@article{feng:2013a,
  title = {Addressing Transient and Permanent Faults in {NoC}
 With Efficient Fault-Tolerant Deflection Router},
  author = {Chaochao Feng and Zhonghai Lu and Axel Jantsch and
 Minxuan Zhang and Zuocheng Xing },
  journal = {IEEE Transactions on Very Large Scale Integration
 Systems (TVLSI)},
  year = {2013},
  month = {June},
  number = {6},
  pages = {1053-1066},
  volume = {21},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2013/ChaochaoFeng-TVLSI.pdf}
}
@article{feng:2012a,
  title = {Support Efficient and Fault-tolerant Multicast in
 Bufferless Network-on-Chip },
  author = {Chaochao Feng and Zhonghai Lu and Axel
 Jantsch and Minxuan Zhang and Xianju Yang},
  journal = {IEICE Transactions on Information and Systems},
  year = {2012},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2012/IEICE-InfSystems-ChaochaoFeng.pdf}
}
@inproceedings{grange:2011a,
  title = {Optimal Network Architectures for Minimizing Average Distance in k-ary n-dimensional Mesh Networks},
  author = {Matt Grange and Roshan Weerasekera and Dinesh Pamunuwa and Axel Jantsch and Awet Yemane Weldezione },
  booktitle = {Proceedings of the Networks on Chip Symposium (NoCS)},
  year = {2011},
  address = {Pittsburgh, Pennsylvania, USA},
  month = {May},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2011/NoCS-MattGrange.pdf}
}
@inproceedings{grecu:2007b,
  title = {Towards open network-on-chip benchmarks},
  author = {Cristian Grecu and, Andre Ivanov and Partha Pande and Axel Jantsch and Erno Salminen and Umit Ogras and Radu Marculescu},
  booktitle = {Proceedings of First International Symposium on Networks-on-Chip },
  year = {2007},
  month = {May},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2007/NOCS-Benchmarks.pdf}
}
@inproceedings{guang:2006a,
  title = {Adaptive Power Management for the On-Chip Communication Network },
  author = {Liang Guang and Axel Jantsch},
  booktitle = {9th Euromicro Conference on Digital System Design (DSD)},
  year = {2006},
  month = {August},
  key = {nostrum,selfaware,noc},
  url = {http://jantsch.se/AxelJantsch/papers/2006/DSD-Euromicro-LiangGuang.pdf}
}
@inproceedings{helmy:2010a,
  title = {Theorem Proving Techniques for Formal Verification of NoC Communications with Non-minimal Adaptive Routing},
  author = {Amr Helmy and Laurence Pierre and Axel Jantsch},
  booktitle = {Proceedings of the 13th IEEE International Symposium on Design \& Diagnostics of Electronic Circuits \& Systems},
  year = {2010},
  address = {Vienna, Austria},
  month = {April},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2010/DDECS-NostrumVerification.pdf}
}
@inproceedings{hemani:2000a,
  title = { Network on Chip: An architecture for billion transistor era },
  author = { Ahmed Hemani and Axel Jantsch and Shashi Kumar and Adam Postula and Johnny \"Oberg and Mikael Millberg and Dan Lindqvist },
  booktitle = { Proceeding of the IEEE NorChip Conference },
  year = {2000},
  month = {November},
  key = { nostrum },
  url = { http://jantsch.se/AxelJantsch/papers/2000/norchip-noc.pdf}
}
@inproceedings{hu:2011a,
  title = {Power-efficient Tree-based Multicast Support for Networks-on-Chip },
  author = {Wenmin Hu and Zhonghai Lu and Axel Jantsch and Hengzhu Liu},
  booktitle = {Proceedings of the Asian Pacific Design Automation Conference (ASPDAC)},
  year = {2011},
  address = {Tokyo, Japan},
  month = {January},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2011/ASPDAC-WenminHu.pdf}
}
@inproceedings{hu:2011b,
  title = {Network-on-Chip Multicasting with Low Latency Path Setup},
  author = {Wenmin Hu and Zhonghai Lu and Axel Jantsch and Hengzhu
 Liu and Botao Zhang and Dongpei Liu},
  booktitle = {Proceedings of the VLSI-SoC Conference},
  year = {2011},
  month = {October},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2011/WenminHu-VLSI-SoC.pdf}
}
@article{jafari:2016a,
  title = {Weighted Round Robin Configuration for Worst-Case
                  Delay Optimization in {N}etwork-on-{C}hip},
  author = {Fahimeh Jafari and Axel Jantsch and Zhonghai Lu},
  journal = {IEEE Transactions on Very Large Scale Integration
                  (VLSI) Systems },
  year = {2016},
  month = {May},
  number = {12},
  volume = {24},
  key = {noc},
  doi = { 10.1109/TVLSI.2016.2556007}
}
@inproceedings{jafari:2012a,
  title = {Worst-Case Delay Analysis of Variable Bit-Rate Flows in
 Network-on-Chip with Aggregate Scheduling},
  author = {Fahimeh Jafari and Axel Jantsch and Zhonghai Lu},
  booktitle = {Proceedings of the Design and Test in Europe Conference
 (DATE) },
  year = {2012},
  address = {Dresden, Germany},
  month = {March},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2012/DATE-FahimehJafari.pdf}
}
@inproceedings{jafari:2011a,
  title = {Output Process of Variable Bit-Rate Flows in On-Chip
                  Networks Based on Aggregate Scheduling },
  author = {Fahimeh Jafari and Axel Jantsch and Zhonghai Lu},
  booktitle = {Proceedings of the International Conference on
                  Computer Design },
  year = {2011},
  address = {Amherst, Massachusetts, USA},
  month = {October},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2011/ICCD-FahimehJafari.pdf}
}
@article{jafari:2015b,
  title = {Least Upper Delay Bound for {VBR} Flows in
                  Networks-on-Chip with Virtual Channels},
  author = {Fahimeh Jafari and Zhonghai Lu and Axel Jantsch},
  journal = {{ACM} Trans. Design Autom. Electr. Syst.},
  year = {2015},
  month = {June},
  number = {3},
  pages = {35:1--35:33},
  volume = {20},
  key = {nostrum},
  biburl = {http://dblp.uni-trier.de/rec/bib/journals/todaes/JafariLJ15},
  doi = {10.1145/2733374},
  timestamp = {Mon, 20 Jul 2015 09:06:21 +0200},
  url = {http://doi.acm.org/10.1145/2733374}
}
@inproceedings{jafari:2010a,
  title = {Optimal Regulation of Traffic Flows in Networks-on-Chip},
  author = {Fahimeh Jafari and Zhonghai Lu and Axel Jantsch and Mohammad H. Yaghmaee },
  booktitle = {Proceedings of the Design Automation and Test Europe Conference (DATE)},
  year = {2010},
  address = {Dresden},
  month = {March},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2010/DATE-Fahimeh.pdf}
}
@article{jafari:2010b,
  title = {Buffer Optimization in Network-on-Chip Through Flow Regulation},
  author = {Fahimeh Jafari and Zhonghai Lu and Axel Jantsch and Mohammad Hossein Yaghmaee },
  journal = {IEEE Transactions on Computer Aided Design (TCAD)},
  year = {2010},
  month = {December},
  number = {12},
  pages = { 1973--1986 },
  volume = {29},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2010/TCAD-FahimehJafari.pdf }
}
@inproceedings{jafri:2012a,
  title = {Self-Adaptive {NoC} Power Management with Dual-Level
                  Agents: Architecture and Implementation },
  author = {Syed M. A. H. Jafri and Liang Guang and Axel Jantsch
                  and Kolin Paul and Ahmed Hemani and Hannu Tenhunen},
  booktitle = {Proceedings of the Conference on Self-adaptive
                  Networked Embedded Systems },
  year = {2012},
  address = {Rome, Italy},
  month = {February},
  key = {selfaware,noc,soc},
  url = {http://jantsch.se/AxelJantsch/papers/2012/SANES-SyedJafri.pdf}
}
@inproceedings{jantsch:2006c,
  title = {Models of Computation for Networks on Chip},
  author = {Axel Jantsch},
  booktitle = {Proceedings of the Sixth International Conference on Application of Concurrency to System Design },
  year = {2006},
  month = {June},
  note = { invited paper},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2006/ACSD-jantsch.pdf}
}
@inproceedings{jantsch:2003d,
  title = { {NoCs}: A new Contract between Hardware and Software},
  author = { Axel Jantsch },
  booktitle = { Proceedings of the Euromicro Symposium on Digital System Design },
  year = {2003},
  month = {September},
  note = { Invited keynote },
  key = { nostrum },
  url = {http://jantsch.se/AxelJantsch/papers/2003/euromicro-dsd.pdf}
}
@inproceedings{jantsch:2002a,
  title = { Network on Chip },
  author = { Axel Jantsch },
  booktitle = { Proceedings of the Conference Radio vetenskap och Kommunication },
  year = {2002},
  address = {Stockholm},
  month = {June},
  key = { nostrum },
  url = {http://jantsch.se/AxelJantsch/papers/2002/rvk-2002.pdf}
}
@article{jantsch:2004a,
  title = {Special Issue on Networks on Chip - guest editor's introduction },
  author = {Axel Jantsch and Johnny \"Oberg and Hannu Tenhunen},
  journal = {Journal of Systems Architecture},
  year = {2004},
  month = {February},
  number = {2-3},
  volume = {50},
  key = { nostrum },
  url = {http://jantsch.se/AxelJantsch/papers/2004/JSA-NOC.pdf}
}
@incollection{jantsch:2011c,
  title = {Memory Architecture and Management in an {NoC} Platform},
  author = {Axel Jantsch and Xiaowen Chen and Abdul Naeem and Yuang Zhang and Sandro Penolazzi and Zhonghai Lu },
  booktitle = {Scalable Multi-core Architectures: Design Methodologies and Tools},
  publisher = {Springer},
  year = {2011},
  editor = {Axel Jantsch and Dimitrios Soudris },
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2011/MOSART-BookChapter.pdf}
}
@inproceedings{jantsch:2005a,
  title = { Power analysis of link level and end-to-end data protection on networks on chip},
  author = { Axel Jantsch and Robert Lauter and Arseni Vitkowski },
  booktitle = {Proceedings of the IEEE International Symposium on Circuits and Systems },
  year = {2005},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2005/ISCAS.pdf}
}
@incollection{jantsch:2009b,
  title = {Resource Allocation for Quality of Service in On-Chip Communication },
  author = {Axel Jantsch and Zhonghai Lu},
  booktitle = {Networks on Chip: Theory and Practice},
  publisher = {Taylor \& Francis Group LLC - CRC Press},
  year = {2009},
  editor = {Fayez Gebali and Haytham Elmiligi},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2009/NoC-Book-QoS-Chapter.pdf}
}
@inproceedings{jantsch:2001c,
  title = {Networks on Chip },
  author = {Axel Jantsch and Juha-Pekka Soininen and Martti Forsell and Li-Rong Zheng and Shashi Kumar and Mikael Millberg and Johnny \"Oberg },
  booktitle = {Workshop at the European Solid State Circuits Conference },
  year = {2001},
  month = {September},
  key = { nostrum }
}
@incollection{jantsch:2003b,
  title = {Will Networks on Chip Close the Productivity Gap?},
  author = {Axel Jantsch and Hannu Tenhunen},
  booktitle = {Networks on Chip},
  publisher = {Kluwer Academic Publishers},
  year = {2003},
  chapter = {1},
  editor = {Axel Jantsch and Hannu Tenhunen},
  month = {February},
  pages = {3-18},
  key = { nostrum },
  url = {http://jantsch.se/AxelJantsch/papers/2003/NOC-chapter-1.pdf}
}
@inproceedings{khatib:2006a,
  title = {{MPSoC} {ECG} Biochip: A Multiprocessor {System}-on-{Chip} for Real-Time Human Heart Monitoring and Analysis },
  author = {Iyad Al Khatib and Davide Bertozzi and Francesco Poletti and Luca Benini and Axel Jantsch and Mohamed Bechara and Hasan Khalifeh and Mazen Hajjar and Rustam Nabiev and Sven Jonsson },
  booktitle = {Proceedings of the ACM Computing Frontiers },
  year = {2006},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2006/ACM_ComputingFrontiers-Iyad.pdf}
}
@inproceedings{khatib:2005a,
  title = { Wireless Network-on-Chips as Autonomous Systems: A Novel Solution for Biomedical Healthcare and Space Exploration Sensor-Networks },
  author = { Iyad Al Khatib and Axel Jantsch and Bassam Kayal and Rustam Nabiev and Sven Jonsson },
  booktitle = {Proceedings of the Infocom 2005 Conference - Student Workshop },
  year = {2005},
  month = {March},
  key = { nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2005/Infocom-iyad.pdf}
}
@inproceedings{khatib:2005b,
  title = { Simulation of Real Home Healthcare Sensor Networks Utilizing IEEE 802.11g Biomedical Network-on-Chip },
  author = { Iyad Al Khatib and Axel Jantsch and Mohammad Saleh },
  booktitle = { Proceedings of REALWAN },
  year = {2005},
  address = {Stockholm},
  key = { nostrum}
}
@article{kiasari:2013b,
  title = {Mathematical Formalisms for Performance Evaluation
                  of Networks-on-Chip },
  author = {Abbas Eslami Kiasari and Axel Jantsch and Zhonghai
                  Lu},
  journal = {ACM Computing Surveys},
  year = {2013},
  month = {June},
  number = {3},
  volume = {45},
  key = {survey,nostrum},
  doi = {10.1145/2480741.2480755},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2013/AbbasKiasari-ACM-ComputingSurvey.pdf}
}
@inproceedings{kiasari:2010a,
  title = {A Framework for Designing Congestion-Aware Deterministic Routing},
  author = {Abbas Eslami Kiasari and Axel Jantsch and Zhonghai Lu},
  booktitle = {Proceedings of the International Workshop on Network on Chip Architectures (NoCArc)},
  year = {2010},
  address = {Atlanta, Gorgia },
  month = {November},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2010/NoCArc-AbbasEKiasari.pdf}
}
@article{kiasari:2013a,
  title = {An Analytical Latency Model for Networks-on-Chip},
  author = {Abbas Eslami Kiasari and Zhonghai Lu and Axel Jantsch},
  journal = {Very Large Scale Integration (VLSI) Systems, IEEE
 Transactions on},
  year = {2013},
  month = {January},
  number = {1},
  pages = {113 -123},
  volume = {21},
  doi = {10.1109/TVLSI.2011.2178620},
  issn = {1063-8210},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2013/AbbasKiasari-TVLSI.pdf}
}
@inproceedings{kumar:2002a,
  title = {A Network on Chip Architecture and Design Methodology},
  author = {Shashi Kumar and Axel Jantsch and Juha-Pekka Soininen and Martti Forsell and Mikael Millberg and Johnny \"Oberg and Kari Tiensyrj\"a and Ahmed Hemani },
  booktitle = { Proceedings of IEEE Computer Society Annual Symposium on VLSI},
  year = {2002},
  month = {April},
  key = { nostrum },
  url = { http://jantsch.se/AxelJantsch/papers/2002/ISVLSI.pdf}
}
@article{liu:2015b,
  title = { {MultiCS}: Circuit Switched {NoC} with Multiple
 Sub-Networks and Sub-Channels },
  author = { Shaoteng Liu and Axel Jantsch and Zhonghai Lu},
  journal = { Journal of Systems Architecture },
  year = {2015},
  doi = {doi:10.1016/j.sysarc.2015.07.013},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2015/ShaotengLiu-JSA_SYSARC.pdf}
}
@inproceedings{liu:2014a,
  title = {Parallel Probe Based Dynamic Connection Setup in {TDM}
 {NoCs} },
  author = {Shaoteng Liu and Axel Jantsch and Zhonghai Lu },
  booktitle = {Proceedings of the Design Automation and Test Europe
 Conference (DATE)},
  year = {2014},
  month = {March},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2014/ShaotengLiu-DATE.pdf}
}
@inproceedings{liu:2013a,
  title = {Analysis and evaluation of circuit switched {NoC}
 and packet switch {NoC} },
  author = {Shaoteng Liu and Axel Jantsch and Zhonghai Lu},
  booktitle = {Proceedings of Euromicro Digital System Design
 Conference },
  year = {2013},
  address = {Santander, Spain},
  month = {September},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2013/ShaotengLiu-DSD.pdf}
}
@inproceedings{liu:2012a,
  title = {Parallel Probing: Dynamic and Constant Time Setup
 Procedure in Circuit Switching {NoCs} },
  author = {Shaoteng Liu and Axel Jantsch and Zhonghai Lu},
  booktitle = {Proceedings of the Design and Test in Europe Conference
 (DATE) },
  year = {2012},
  address = {Dresden, Germany},
  month = {March},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2012/DATE-ShaotengLiu.pdf}
}
@inproceedings{liu:2012b,
  title = {Comparison of Circuit Switched {NoC} with Packet Switched
 {NoC}},
  author = {Shaoteng Liu and Axel Jantsch and Zhonghai Lu},
  booktitle = {Fifth Swedish Workshop on Multicore Computing},
  year = {2012},
  address = {Stockholm, Sweden},
  month = {11},
  key = {nostrum}
}
@inproceedings{liu:2015a,
  title = { Highway in {TDM} {NoCs} },
  author = { Shaoteng Liu and Zhonghai Lu and Axel Jantsch },
  booktitle = {Proceedings of the International Symposium on
 Networks on Chip },
  year = {2015},
  address = {Vancouver, Canada},
  month = {September},
  note = { {\bf Best Paper Award} },
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2015/ShaotengLiu-NoCS.pdf}
}
@inproceedings{lu:2009b,
  title = {A Flow Regulator for On-Chip Communication},
  author = {Zhonghai Lu and Dimitris Brachos and Axel Jantsch},
  booktitle = {Proceedings of the System on Chip Conference},
  year = {2009},
  address = {Belfast, Northern Ireland},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2009/SoCC-DLI-ZhonghaiLu.pdf}
}
@inproceedings{Lu:2009c,
  title = {Trends of Terascale Computing Chips in the Next Ten Years},
  author = {Zhonghai Lu and Axel Jantsch},
  booktitle = {Proceedings of IEEE ASICON 2009},
  year = {2009},
  address = {ChangSha, China},
  month = {October},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2009/Asicon09_invited_paper.pdf }
}
@article{lu:2008a,
  title = {{TDM} Virtual-Circuit Configuration for Network-on-Chip},
  author = {Zhonghai Lu and Axel Jantsch},
  journal = {IEEE Transactions on Very Large Scale Integration Systems},
  year = {2008},
  month = {August},
  number = {8},
  volume = {16},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2008/TVLSI-ZhonghaiLu.pdf}
}
@inproceedings{lu:2007e,
  title = {Slot Allocation Using Logical Networks for {TDM} Virtual-Circuit Configuration for Network-on-Chip},
  author = {Zhonghai Lu and Axel Jantsch},
  booktitle = {International Conference on Computer Aided Design (ICCAD)},
  year = {2007},
  month = {November},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2007/ICCAD-zhonghai-lu.pdf}
}
@article{lu:2007f,
  title = {Admitting and Ejecting Flits in Wormhole-switched Networks on Chip},
  author = {Zhonghai Lu and Axel Jantsch},
  journal = {IET Computers \& Digital Techniques},
  year = {2007},
  month = {September},
  number = {1},
  pages = {546-556},
  volume = {5},
  key = {nostrum}
}
@inproceedings{lu:2005c,
  title = {Traffic Configuration for Evaluating Networks on Chips},
  author = {Zhonghai Lu and Axel Jantsch},
  booktitle = {Proceedings of the 5th International Workshop on Systems on Chip (IWSOC)},
  year = {2005},
  month = {July},
  key = {nostrum, nnse},
  url = {http://jantsch.se/AxelJantsch/papers/2005/IWSOC-zhonghai.pdf}
}
@inproceedings{lu:2004a,
  title = {Flit Admission in On-chip Wormhole-switched Networks with Virtual Channels },
  author = {Zhonghai Lu and Axel Jantsch},
  booktitle = { Proceedings of the International Symposium on System-on-Chip 2003 },
  year = {2004},
  month = {November},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2004/SOC-Tampere-Lu.pdf}
}
@inproceedings{lu:2004b,
  title = {Flit Ejection in On-chip Wormhole-switched Networks with Virtual Channels },
  author = {Zhonghai Lu and Axel Jantsch},
  booktitle = {Proceedings of the IEEE NorChip Conference },
  year = {2004},
  month = {November},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2004/NorChip-zhonghai-lu.pdf}
}
@article{lu:2008c,
  title = {Network-on Chip Micro-Benchmarks},
  author = {Zhonghai Lu and Axel Jantsch and Erno Salminen and Cristian Grecu},
  journal = {Embedded Systems Design},
  year = {2008},
  month = {September},
  key = {nostrum},
  url = {http://www.embedded.com/design/multicore/210604311}
}
@inproceedings{lu:2005a,
  title = {Feasibility Analysis of Messages for On-chip Networks Using Wormhole Routing },
  author = {Zhonghai Lu and Axel Jantsch and Ingo Sander},
  booktitle = {Proceedings of the Asian Pacific Design Automation Conference },
  year = {2005},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2005/ASPDAC-zhonghai.pdf}
}
@inproceedings{lu:2007c,
  title = {Layered Switching for Networks on Chip},
  author = {Zhonghai Lu and Ming Liu and Axel Jantsch},
  booktitle = {Proceedings of the Design Automation Conference},
  year = {2007},
  month = {June},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2007/DAC-zhonghai-lu.pdf}
}
@inproceedings{lu:2009a,
  title = {Flow Regulation for On-Chip Communication},
  author = {Zhonghai Lu and Mikael Millberg and Axel Jantsch and Alistair Bruce and Pieter van der Wolf and Tomas Henriksson},
  booktitle = {Proceedings of the Design Automation and Test Europe Conference (DATE)},
  year = {2009},
  month = {April},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2009/DATE-ZhonghaiLu.pdf}
}
@incollection{lu:2006b,
  title = {Refining Synchronous Communication onto Network-on-Chip Best-effort Services},
  author = {Zhonghai Lu and Ingo Sander and Axel Jantsch},
  booktitle = {Advances in Design and Specification Languages for {SoCs} - Selected Contributions from {FDL 2005}},
  publisher = {Springer Verlag},
  year = {2006},
  editor = {Alain Vachoux },
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2006/FDL-Book-zhonghai-lu.pdf}
}
@inproceedings{lu:2006d,
  title = {Towards Performance-oriented Pattern-based Refinement of Synchronous Models onto {NoC} Communication },
  author = {Zhonghai Lu and Ingo Sander and Axel Jantsch},
  booktitle = {9th Euromicro Conference on Digital System Design (DSD 2006)},
  year = {2006},
  month = {August},
  key = {nostrum,forsyde},
  url = {http://jantsch.se/AxelJantsch/papers/2006/DSD-Euromicro-zhonghai.pdf}
}
@inproceedings{lu:2005f,
  title = {Refinement of A Perfectly Synchronous Communication Model onto {Nostrum} {NoC} Best-Effort Communication },
  author = {Zhonghai Lu and Ingo Sander and Axel Jantsch},
  booktitle = {Proceedings of the Forum on Design Languages },
  year = {2005},
  month = {September},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2005/FDL-zhonghai.pdf}
}
@inproceedings{lu:2005d,
  title = {A power efficient flit-admission scheme for wormhole-switched networks on chip },
  author = {Zhonghai Lu and Li Tong and Bei Yin and Axel Jantsch },
  booktitle = {Proceedings of the 9th World Multi-Conference on Systemics, Cybernetics and Informatics },
  year = {2005},
  month = {July},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2005/WMSCI-zhonghai.pdf}
}
@inproceedings{lu:2008b,
  title = {Cluster-based Simulated Annealing for Mapping Cores onto 2D Mesh Networks on Chip},
  author = {Zhonghai Lu and Lei Xia and Axel Jantsch },
  booktitle = {Proceedings of the IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems},
  year = {2008},
  month = {April},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2008/DDECS-ZhonghaiLu.pdf}
}
@inproceedings{lu:2006a,
  title = {Connection-oriented Multicasting in Wormhole-switched Networks on Chip },
  author = {Zhonghai Lu and Bei Yin and Axel Jantsch},
  booktitle = {Proceedings of the IEEE Computer Society Annual Symposium on VLSI },
  year = {2006},
  month = {March},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2006/ISVLSI-multicast.pdf}
}
@inproceedings{lu:2006c,
  title = {Evaluation of Onchip Networks Using Deflection Routing},
  author = {Zhonghai Lu and Mingchen Zhong and Axel Jantsch},
  booktitle = {Proceedings of GLSVLSI },
  year = {2006},
  key = {nostrum,nnse},
  url = {http://jantsch.se/AxelJantsch/papers/2006/GLSVLSI-zhonghai-lu.pdf}
}
@inproceedings{ma:2015a,
  title = {A Packet-switched Interconnect for Many-core Systems
 with {BE} and {RT} Service},
  author = {Runan Ma and Zhida Hui and Axel Jantsch},
  booktitle = {Proceedings of the Design Automation and Test Europe
 Conference (DATE)},
  year = {2015},
  address = {Grenoble, France},
  month = {March},
  doi = {10.7873/DATE.2015.0405},
  key = {nostrum}
}
@inproceedings{millberg:2009a,
  title = {Priority Based Forced Requeue to Reduce Worst-Case Latency for Bursty Traffic},
  author = {Mikael Millberg and Axel Jantsch},
  booktitle = {Proceedings of the Design Automation and Test Europe Conference (DATE)},
  year = {2009},
  month = {April},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2009/DATE-MikaelMillberg.pdf}
}
@inproceedings{millberg:2007a,
  title = {Improvements of Performance and Use of Buffers in {NoCs} using Dual Packet Exit },
  author = {Mickael Millberg and Axel Jantsch},
  booktitle = {Proceedings of the 1st Symposium on Networks on Chip},
  year = {2007},
  month = {May},
  note = {poster},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2007/NOCS-Millberg-ExitStrategies.pdf}
}
@inproceedings{millberg:2007b,
  title = { Increasing {NoC} Performance and Utilisation using a DualPacket Exit Strategy },
  author = {Mickael Millberg and Axel Jantsch},
  booktitle = { 10th Euromicro Conference on Digital System Design },
  year = {2007},
  address = {Lubeck, Germany },
  month = {August},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2007/DSD-MikaelMillberg.pdf}
}
@inproceedings{millberg:2004b,
  title = {Guaranteed Bandwidth using Looped Containers in Temporally Disjoint Networks within the {Nostrum} Network on Chip },
  author = { Mikael Millberg and Erland Nilsson and Rikard Thid and Axel Jantsch},
  booktitle = {Proceedings of the Design Automation and Test Europe Conference (DATE)},
  year = {2004},
  month = {February},
  key = { nostrum },
  url = {http://jantsch.se/AxelJantsch/papers/2004/DATE-Millberg.pdf}
}
@inproceedings{millberg:2004a,
  title = { The {Nostrum} Backbone - a Communication Protocol Stack for Networks on Chip},
  author = {Mikael Millberg and Erland Nilsson and Rikard Thid and Shashi Kumar and Axel Jantsch},
  booktitle = { Proceedings of the VLSI Design Conference },
  year = {2004},
  address = { Mumbai, India},
  month = {January},
  key = { nostrum },
  url = {http://jantsch.se/AxelJantsch/papers/2004/VLSI-Millberg.pdf}
}
@inproceedings{naeem:2011a,
  title = {Realization and Performance Comparison of Sequential and Weak Memory Consistency Models in Network-on-Chip based Multi-core Systems },
  author = {Abdul Naeem and Xiaowen Chen and Zhonghai Lu and Axel Jantsch},
  booktitle = {Proceedings of the 16th Asian Pacific Design Automation Conference (ASP-DAC)},
  year = {2011},
  address = {Tokyo, Japan},
  month = {January},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2011/ASPDAC-AbdulNaeem.pdf}
}
@inproceedings{naeem:2010a,
  title = {Scalability of Weak Consistency in {NoC} based Multicore Architectures},
  author = {Abdul Naeem and Xiaowen Chen and Zhonghai Lu and Axel Jantsch},
  booktitle = { Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS) },
  year = {2010},
  address = {Paris, France},
  month = {June},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2010/ISCAS-AbdulNaeem.pdf}
}
@article{naeem:2009a,
  title = {Scalability of Transaction Counter based Relaxed Consistency Models in {NoC} based Multicore Architectures },
  author = {Abdul Naeem and Xiaowen Chen and Zhonghai Lu and Axel Jantsch},
  journal = {ACM SIGARCH Computer Architecture News },
  year = {2009},
  month = {December},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2009/SIGARCH-AbdulNaeem.pdf}
}
@inproceedings{naeem:2011b,
  title = {Realization and Scalability of Release and Protected Release Considtency Models in NoC based Systems },
  author = {Abdul Naeem and Axel Jantsch and Xiaowen Chen and Zhonghai Lu },
  booktitle = {Proceedings of the Euromicro Conference on Digital Systems Design (DSD) },
  year = {2011},
  address = {Oulu, Finland },
  month = {September},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2011/DSD-AbdulNaeem.pdf}
}
@inproceedings{naeem:2012a,
  title = {Architecture Support and Comparison of Three Memory
 Consistency Models in NoC based Systems },
  author = {Abdul Naeem and Axel Jantsch and Zhonghai Lu},
  booktitle = {Proceedings of the Euromicro Conference on Digital
 Systems Design (DSD) },
  year = {2012},
  address = {Izmir, Turkey},
  month = {September},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2012/DSD-AbdulNaeem.pdf}
}
@inproceedings{naeem:2012b,
  title = {Scalability Analysis of Release and Sequential
 Consistency Models in NoC based Multicore Systems },
  author = {Abdul Naeem and Axel Jantsch and Zhonghai Lu},
  booktitle = {Proceedings of the International Symposium on Systems on
 Chip },
  year = {2012},
  address = {Tampere, Finland},
  month = {October},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2012/SoCTampere-AbdulNaeem.pdf}
}
@inproceedings{nilsson:2003a,
  title = {Load distribution with the Proximity Congestion Awareness in a Network on Chip },
  author = {Erland Nilsson and Mikael Millberg and Johnny \"Oberg and Axel Jantsch},
  booktitle = { Proceedings of the Design Automation and Test Europe (DATE) },
  year = {2003},
  month = {March},
  pages = {1126-1127 },
  key = { nostrum },
  url = {http://jantsch.se/AxelJantsch/papers/2003/DATE-ErlandNilsson.pdf}
}
@inproceedings{pamunuwa:2003b,
  title = {Layout, Performance and Power Trade-Offs in Mesh-Based Network-on-Chip Architectures },
  author = { D. Pamunuwa and J. \"Oberg and L. R. Zheng and M. Millberg and A. Jantsch and H. Tenhunen},
  booktitle = { IFIP International Conference on Very Large Scale Integration (VLSI-SOC) },
  year = {2003},
  address = {Darmstadt, Germany},
  month = {December},
  key = { nostrum },
  url = {http://jantsch.se/AxelJantsch/papers/2003/VLSI-SOC-Darmstadt.pdf}
}
@article{pamunuwa:2004a,
  title = {A Study on the Implementation of {2-D} Mesh based Networks on Chip in the Nanoregime},
  author = {Dinesh Pamunuwa and Johnny \"Oberg and Li-Rong Zheng and Mikael Millberg and Axel Jantsch and Hannu Tenhunen},
  journal = {Integration - The VLSI Journal },
  year = {2004},
  month = {October},
  number = {1},
  pages = {3-17},
  volume = {38},
  key = { nostrum }
}
@inproceedings{penolazzi:2006a,
  title = {A High Level Power Model for the {Nostrum} {NoC}},
  author = { Sandro Penolazzi and Axel Jantsch},
  booktitle = {9th Euromicro Conference on Digital System Design (DSD 2006)},
  year = {2006},
  month = {August},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2006/DSD-Euromicro-Sandro.pdf}
}
@article{radetzki:2013a,
  title = {Methods for Fault Tolerance in Networks-on-Chip },
  author = {Martin Radetzki and Chaochao Feng and Xueqian Zhao
                  and Axel Jantsch },
  journal = {ACM Computing Surveys},
  year = {2013},
  month = jul,
  number = {1},
  pages = {8:1--8:38},
  volume = {46},
  address = {New York, NY, USA},
  articleno = {8},
  key = {survey,nostrum},
  doi = {10.1145/2522968.2522976},
  file = {FTNoC-Survey.pdf:http\://jantsch.se/AxelJantsch/papers/2013/FTNoC-Survey.pdf:PDF},
  issn = {0360-0300},
  key = {nostrum},
  numpages = {38},
  publisher = {ACM},
  url = {http://doi.acm.org/10.1145/2522968.2522976}
}
@inproceedings{sun:2002a,
  title = { Simulation and Evaluation of a Network on Chip Architecture Using Ns-2 },
  author = { Yi-Ran Sun and Shashi Kumar and Axel Jantsch },
  booktitle = { Proceedings of the IEEE NorChip Conference },
  year = {2002},
  month = {November},
  key = { nostrum }
}
@inproceedings{thid:2003b,
  title = {Evaluating {NoC} communication backbones with simulation },
  author = { Richard Thid and Mikael Millberg and Axel Jantsch},
  booktitle = { Proceedings of the IEEE NorChip Conference },
  year = {2003},
  month = {November},
  key = { nostrum, nnse },
  url = {http://jantsch.se/AxelJantsch/papers/2003/NorCHIP-Thid.pdf}
}
@inproceedings{thid:2006a,
  title = {Flexible Bus and {NoC} Performance Analysis with Configurable Synthetic Workloads },
  author = {Rikard Thid and Ingo Sander and Axel Jantsch },
  booktitle = {9th Euromicro Conference on Digital System Design (DSD 2006)},
  year = {2006},
  month = {August},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2006/DSD-Euromicro-RikardThid.pdf}
}
@inproceedings{vitkovski:2004a,
  title = {Low-Power and Error Coding for Network-on-Chip Traffic },
  author = {Arseni Vitkovski and Raimo Haukilahti and Axel Jantsch and Erland Nilsson },
  booktitle = {Proceedings of the IEEE NorChip Conference },
  year = {2004},
  month = {November},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2004/NorChip-arseni-vitkowski.pdf}
}
@article{vitkovski:2008a,
  title = {Low-pwer and error protection coding for Network-on-Chip traffic },
  author = {Arseni Vitkovski and Axel Jantsch and Robert Lauter and Raimo Haukilahti and Erland Nilsson },
  journal = {IET Computers and Digital Techniques },
  year = {2008},
  number = {6},
  pages = {483-492},
  volume = {2},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2008/IET-CDT-ArseniVitkovski.pdf}
}
@article{weldezion:2015a,
  title = {Zero-Load Predictive Model for Performance Analysis
 in Deflection Routing {NoCs} },
  author = {Awet Yemane Weldezion and Matt Grange and Axel
 Jantsch and Hannu Tenhunen and Dinesh Pamunuwa },
  journal = {Journal of Microprocessors and Microsystems},
  year = {2015},
  month = {November},
  number = {8},
  volume = {39},
  doi = {http://dx.doi.org/10.1016/j.micpro.2015.09.002},
  key = {nostrum}
}
@inproceedings{weldezion:2013a,
  title = {A Scalable Multi-Dimensional {NoC} Simulation Model
 for Diverse Spatio-temporal Traffic Pattern },
  author = {Awet Yemane Weldezion and Matt Grange and Dinesh
 Pamunuwa and Axel Jantsch and Hannu Tenhunen },
  booktitle = {Proceedings of the {3D} Systems Integration
 Conference ({3DIC}) },
  year = {2013},
  address = {San Francisco, California, USA},
  month = {October},
  file = {AwetWeldizion-3DIC-poster.pdf:http\://jantsch.se/AxelJantsch/papers/2013/AwetWeldizion-3DIC-poster.pdf:PDF},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2013/AwetWeldizion-3DIC.pdf}
}
@inproceedings{weldezion:2009a,
  title = {Scalability of Network-on-Chip Communication Architecture for 3-D Meshes },
  author = {Awet Yemane Weldezion and Matt Grange and Dinesh Pamunuwa and Zhonghai Lu and Axel Jantsch and Roshan Weerasekera and Hannu Tenhunen},
  booktitle = {Proceedings of the International Symposium on Networks-on-Chip },
  year = {2009},
  address = {San Diego, CA},
  month = {May},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2009/NoCS-Awet.pdf}
}
@inproceedings{zhang:2013a,
  title = {Efficient Distributed Memory Management in a
 Multi-Core {H.264} Decoder on {FPGA} },
  author = {Jiajie Zhang and Zheng Yu and Zhiyi Yu and Kexin
 Zhang and Zhonghai Lu and Axel Jantsch },
  booktitle = {Proceedings of the International Symposium on System
 on Chip},
  year = {2013},
  address = {Tampere, Finland},
  month = {October},
  file = {JiajieZhang-SOCTampere-poster.pdf:http\://jantsch.se/AxelJantsch/papers/2013/JiajieZhang-SOCTampere-poster.pdf:PDF},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2013/JiajieZhang-SOCTampere.pdf}
}
@inproceedings{zhang:2009b,
  title = {Towards Hierarchical Cluster based Cache Coherence for Large-Scale Network-on-Chip },
  author = {Yuang Zhang and Zhonghai Lu and Axel Jantsch and Li Li and Minglun Gao},
  booktitle = {Proceedings of the 4th IEEE International Conference on Design \& Technology of Integrated Systems in Nanoscale Era},
  year = {2009},
  address = {Cairo, Egypt},
  month = {April},
  key = {nostrum},
  url = {http://jantsch.se/AxelJantsch/papers/2009/DTIS-zhang-yuang.pdf}
}
@incollection{zimmer:2004a,
  title = { Error-tolerant Interconnect Schemes},
  author = {Heiko Zimmer and Axel Jantsch},
  booktitle = { Interconnect-Centric Design for Advanced {SoC}s and NoCs},
  publisher = {Kluwer Academic Publisher},
  year = {2004},
  chapter = {6},
  editor = { Jari Nurmi and Hannu Tenhunen and Jouni Isoaho and Axel Jantsch },
  month = {April},
  key = { nostrum },
  url = {http://jantsch.se/AxelJantsch/papers/2004/IC-Book-heiko-zimmer.pdf}
}
@inproceedings{zimmer:2003a,
  title = {A Fault Model Notation and Error-Control Scheme for Switch-to-Switch Buses in a Network-on-Chip },
  author = { Heiko Zimmer and Axel Jantsch },
  booktitle = { Proceedings of the CODES-ISSS Conference },
  year = {2003},
  month = {October},
  key = { nostrum },
  url = {http://jantsch.se/AxelJantsch/papers/2003/Codes+ISSS-Zimmer.pdf}
}
@book{jantsch:2011b,
  title = { Scalable Multi-core Architectures: Design, Methodologies, and Tools },
  editor = { Axel Jantsch and Dimitrios Soudris },
  publisher = {Springer},
  year = {2011},
  key = {nostrum}
}
@book{jantsch:2003a,
  title = {Networks on Chip},
  editor = {Axel Jantsch and Hannu Tenhunen},
  publisher = {Kluwer Academic Publishers},
  year = {2003},
  month = {February},
  key = { nostrum },
  url = {http://www.wkap.nl/prod/b/1-4020-7392-5}
}