[1] Abdul Naeem, Axel Jantsch, and Zhonghai Lu. Scalability analysis of release and sequential consistency models in noc based multicore systems. In Proceedings of the International Symposium on Systems on Chip, Tampere, Finland, October 2012. [ bib | .pdf ]
[2] Abdul Naeem, Axel Jantsch, and Zhonghai Lu. Architecture support and comparison of three memory consistency models in noc based systems. In Proceedings of the Euromicro Conference on Digital Systems Design (DSD), Izmir, Turkey, September 2012. [ bib | .pdf ]
[3] Wenmin Hu, Zhonghai Lu, Hengzhu Liu, and Axel Jantsch. Multicast path setup incorporating evicting. Electronics and Electrical Engineering, 18(8), August 2012. [ bib | .pdf ]
[4] Martin Radetzki and Axel Jantsch. Editorial introduction - special issue on languages, models and model based design for embedded systems. Design Automation for Embedded Systems, July 2012. Springer. [ bib | .pdf ]
[5] Xiaowen Chen, Zhonghai Lu, Axel Jantsch, and Shuming Chen. Reducing virtual-to-physical address translation overhead in distributed shared memory based multi-core network-on-chips according to data property. Computers and Electrical Engineering, May 2012. [ bib | .pdf ]
[6] Chaochao Feng, Zhonghai Lu, Axel Jantsch, and Minxuan Zhang. A 1-cycle 1.25GHz bufferless router for 3D network-on-chip. IEICE Transactions on Information and Systems, May 2012. [ bib | .pdf ]
[7] Jun Zhu, Ingo Sander, and Axel Jantsch. Performance analysis of reconfigurations in adaptive real-time streaming applications. ACM Transactions in Embedded Computing Systems -- Special issue on Embedded Systems for Real-time Multimedia, May 2012. [ bib | .pdf ]
[8] Fahimeh Jafari, Axel Jantsch, and Zhonghai Lu. Worst-case delay analysis of variable bit-rate flows in network-on-chip with aggregate scheduling. In Proceedings of the Design and Test in Europe Conference (DATE), Dresden, Germany, March 2012. [ bib | .pdf ]
[9] Shaoteng Liu, Axel Jantsch, and Zhonghai Lu. Parallel probing: Dynamic and constant time setup procedure in circuit switching NoCs. In Proceedings of the Design and Test in Europe Conference (DATE), Dresden, Germany, March 2012. [ bib | .pdf ]
[10] Huimin She, Zhonghai Lu, Axel Jantsch, and Li-Rong Zheng. Estimation of statistical bandwidth through backlog measurement. In Workshop on Network Calculus (WoNeCa2012), March 2012. [ bib | .pdf ]
[11] Syed M. A. H. Jafri, Liang Guang, Axel Jantsch, Kolin Paul, Ahmed Hemani, and Hannu Tenhunen. Self-adaptive NoC power management with dual-level agents: Architecture and implementation. In Proceedings of the Conference on Self-adaptive Networked Embedded Systems, Rome, Italy, February 2012. [ bib | .pdf ]
[12] Chaochao Feng, Zhonghai Lu, Axel Jantsch, Minxuan Zhang, and Xianju Yang. Support efficient and fault-tolerant multicast in bufferless network-on-chip. IEICE Transactions on Information and Systems, 2012. [ bib | .pdf ]
[13] Wenmin Hu, Hengzhu Liu, Zhonghai Lu, Axel Jantsch, and Guitao Fu. Self-selection pseudo-circuit: a clever crossbar pre-allocation. IEICE Electronics Express, 2012. [ bib | .pdf ]
[14] Ming Liu, Zhonghai Lu, Wolfgang Kuehn, and Axel Jantsch. A survey of FPGA dynamic reconfiguration design methodology and applications. International Journal of Embedded and Real-Time Communication Systems International Journal of Embedded and Real-Time Communication Systems, 3(2):23--39, 2012. [ bib ]
[15] Shaoteng Liu, Axel Jantsch, and Zhonghai Lu. Comparison of circuit switched NoC with packet switched NoC. In Fifth Swedish Workshop on Multicore Computing, Stockholm, Sweden, 11 2012. [ bib ]
[16] Huimin She, Zhonghai Lu, and Axel Jantsch. System-level evaluation of sensor networks deployment strategies: Coverage lifetime and cost. In Proceedings of the 8th International Wireless Communications and Mobile Computing Conference, 2012. [ bib ]
[17] Huimin She, Zhonghai Lu, Axel Jantsch, Dian Zhou, and Li-Rong Zheng. Performance analysis of flow based traffic splitting strategy on cluster-mesh sensor networks. International Journal of Distributed Sensor Networks, 2012. [ bib | .pdf ]